EDA技術與VHDL程式開發基礎教程

EDA技術與VHDL程式開發基礎教程

本書詳細介紹了EDA技術和VHDL硬體描述語言,主要內容包括EDA工具的發展歷程和設計理念,可程式邏輯器件的原理和分類,VHDL的程式結構和基本語法,開發套件 Quartus II的使用方法,順序語句和並行語句的使用,VHDL中的屬性描述,VHDL的層次化設計方法,VHDL在通信和DSP系統中的套用等。

基本介紹

  • 書名:EDA技術與VHDL程式開發基礎教程
  • 作者:雷伏容
  • ISBN:9787302224167
  • 定價:32.00
  • 出版社:清華大學出版社
  • 出版時間:2010年7月
圖書目錄
第1章 EDA概述
1.1 EDA 工程簡介 1
1.2 EDA技術的發展歷程和未來展望 2
1.2.1 計算機輔助設計階段 3
1.2.2 計算機輔助工程設計階段 3
1.2.3 現代電子系統設計自動化階段 3
1.2.4 EDA技術的未來展望 4
1.3 EDA技術的套用 4
1.3.1 PCB設計 5
1.3.2 ASIC設計 6
1.3.3 CPLD/FPGA設計 8
1.4 EDA 工程的設計流程 8
1.4.1 設計輸入 9
1.4.2 邏輯綜合和最佳化 10
1.4.3 布局布線和適配 11
1.4.4 工程設計的仿真 11
1.4.5 目標器件的編程和下載 11
1.4.6 硬體電路的後仿真驗證和測試 12
1.5 EDA 集成開發工具 12
1.5.1 Quartus II 12
1.5.2 ISE+ModelSim 13
1.5.3 ispLEVER 14
1.5.4 其他開發工具 15
1.6 EDA技術的學習重點和學習方法 15
1.6.1 EDA技術的學習重點 15
1.6.2 EDA技術的學習方法 16
1.7 本章小結 16
1.8 思考和練習 16
1.8.1 填空 16
1.8.2 選擇 17
1.8.3 問答 17
2.1.1 可程式邏輯器件的發展 18
2.1.2 可程式邏輯器件的分類 20
2.2 PLD內部結構的表示方法 22
2.3 CPLD的基本結構和工作原理 23
2.3.1 CPLD的基本結構 24
2.3.2 Lattice 公司的CPLD 24
2.3.3 Altera公司的CPLD 28
2.4 FPGA的結構和工作原理 33
2.4.1 FPGA 的基本結構 33
2.4.2 Altera公司的FPGA 35
2.4.3 Xilinx公司的FPGA 38
2.5 FPGA 的配置方式 40
2.5.1 主動串列配置 41
2.5.2 主動並行配置 41
2.5.3 菊花鏈配置 42
2.6 CPLD/FPGA 的套用選型 42
2.6.1 器件邏輯資源的選擇 42
2.6.2 晶片速度的選擇 43
2.6.3 器件功耗的選擇 43
2.6.4 器件封裝的選擇 43
2.6.5 CPLD/FPGA的選擇 43
2.7 本章小結 44
2.8 思考和練習 44
2.8.1 填空 44
2.8.2 選擇 44
2.8.3 問答 45
第3章 VHDL硬體描述語言 46
3.1 硬體描述語言概述 46
3.1.1 硬體描述語言(HDL) 46
3.1.2 HDL的種類 47
3.1.3 VHDL語言的特點 51
3.1.4 VHDL和Verilog的比較 52
3.1.5 VHDL 的硬體環境 53
3.2 VHDL程式的基本結構 53
3.2.1 VHDL的設計風格 54
3.2.2 VHDL設計簡述 56
3.2.3 VHDL的實體說明 60
3.2.4 VHDL的結構體 62
3.3 VHDL的基本詞法 65
3.3.1 標識符 65
3.3.2 對象 66
3.3.3 數據類型 69
3.3.4 類型轉換 73
3.3.5 運算操作符 74
3.4 VHDL的基本語句 78
3.4.1 賦值語句 79
3.4.2 IF語句 80
3.4.3 CASE 語句 82
3.4.4 LOOP語句 83
3.4.5 PROCESS語句 84
3.4.6 COMPONENT語句 86
3.4.7 PORT MAP連線埠映射語句 88
3.5 VHDL的描述風格 89
3.5.1 行為級描述 89
3.5.2 數據流描述 91
3.5.3 門級描述 92
3.5.4 混合描述 94
3.6 本章小結 94
3.7 思考和練習 95
3.7.1 填空 95
3.7.2 選擇 95
3.7.3 實驗 97
第4章 Quartus II操作指南 102
4.1 Quartus II基本設計流程 102
4.1.1 創建工程 102
4.1.2 編譯工程 107
4.1.3 時序仿真 108
4.1.4 Viewer工具 113
4.1.5 引腳鎖定和下載 115
4.2 嵌入式邏輯分析儀SignalTap II 116
4.2.1 SignalTap II的啟動 117
4.2.2 調入待測信號 117
4.2.3 SignalTap II的參數設定 117
4.2.4 SignalTap II檔案的保存和編譯下載 118
4.2.5 SignalTap II 的採樣分析 118
4.3 LPM_ROM宏模組的使用 119
4.3.1 LPM_ROM宏模組的工作
原理 119
4.3.2 初始化數據檔案 119
4.3.3 定製LPM_ROM元件 120
4.3.4 頂層檔案的仿真測試 124
4.4 本章小結 126
4.5 思考和練習 126
4.5.1 填空 126
4.5.2 選擇 126
4.5.3 實驗 127
第5章 VHDL基本邏輯電路設計 129
5.1 組合邏輯電路設計 129
5.1.1 基本門電路的設計 129
5.1.2 三態門及匯流排緩衝器的設計 131
5.1.3 優先編碼器的設計 134
5.1.4 解碼器的設計 138
5.1.5 運算器的設計 141
5.1.6 多路選擇器的設計 143
5.2 時序邏輯電路設計 145
5.2.1 觸發器的設計 145
5.2.2 暫存器的設計 149
5.2.3 計數器的設計 152
5.3 存儲器設計 154
5.3.1 唯讀存儲器的設計 154
5.3.2 靜態數據存儲器的設計 156
5.3.3 先進先出堆疊的設計 157
5.4 狀態機設計 161
5.4.1 狀態機概述 161
5.4.2 Moore狀態機的設計 162
5.4.3 Mealy狀態機的設計 165
5.4.4 容錯狀態機的設計 167
5.5 本章小結 168
5.6 思考和練習 168
5.6.1 填空 168
5.6.2 選擇 169
5.6.3 實驗 170
第6章 VHDL語句進階 174
6.1 並行語句 174
6.1.1 塊語句 174
6.1.2 生成語句 177
6.1.3 報告語句 180
6.1.4 並行斷言語句 182
6.1.5 過程調用語句 183
6.2 順序語句 184
6.2.1 WAIT 語句 185
6.2.2 NEXT語句 186
6.2.3 EXIT語句 187
6.2.4 NULL語句 188
6.2.5 RETURN 語句 188
6.3 本章小結 189
6.4 思考和練習 190
6.4.1 填空 190
6.4.2 選擇 190
6.4.3 實驗 191
第7章 VHDL的屬性描述和仿真延時 193
7.1 預定義屬性 193
7.2 數值類屬性函式 194
7.2.1 數值類型屬性函式 194
7.2.2 數值數組屬性函式 195
7.2.3 數值塊屬性函式 196
7.3 函式屬性 197
7.3.1 函式類型屬性 197
7.3.2 函式數組屬性 199
7.3.3 函式信號屬性 200
7.4 信號屬性 202
7.4.1 帶DELAYED(time)屬性的信號 202
7.4.2 帶STABLE(time)屬性的信號 203
7.4.3 帶QUIET (time)屬性的信號 203
7.4.4 帶TRANSACTION屬性的
信號 204
7.5 數據類型的屬性函式 205
7.6 數據區間的屬性函式 205
7.7 VHDL 的設計仿真 207
7.7.1 仿真的概念 207
7.7.2 仿真延遲 207
7.7.3 仿真周期 209
7.8 時間數字轉化器的設計 210
7.8.1 時間數字轉化器的套用 210
7.8.2 TDC的工作原理 211
7.8.3 TDC 的分類 212
7.8.4 延時鏈結構TDC在FPGA上的實現 215
7.9 本章小結 220
7.10 思考和練習 220
7.10.1 填空 220
7.10.2 選擇 221
7.10.3 實驗 221
第8章 VHDL層次化程式設計 223
8.1 層次化程式設計方法 223
8.2 庫和程式包 224
8.2.1 庫 224
8.2.2 程式包 225
8.2.3 常用的程式包 226
8.3 檔案輸入/輸出程式包 230
8.3.1 TEXTIO 程式包語法 230
8.3.2 TEXTIO程式包的過程函式 231
8.3.3 TEXTIO程式包的調用 232
8.4 元件的配置 233
8.4.1 默認連線和默認配置 233
8.4.2 元件配置 236
8.5 子程式 238
8.6 重載 239
8.6.1 函式重載 239
8.6.2 運算符重載 240
8.6.3 別名 241
8.7 本章小結 242
8.8 思考和練習 242
8.8.1 填空 242
8.8.2 選擇 243
8.8.3 實驗 243
第9章 VHDL的數字系統設計 245
9.1 數字系統概述 245
9.2 數字系統的設計方法和設計流程 246
9.3 數字系統設計實例 248
9.3.1 七段數碼管驅動電路的設計 248
9.3.2 鍵盤接口的設計 253
9.3.3 DAC接口的設計 258
9.3.4 ADC接口的設計 264
9.3.5 八音盒的設計 272
9.3.6 UART接口的設計 278
9.4 本章小結 286
9.5 實驗練習 287
第10章 VHDL在通信和DSP系統中的套用 290
10.1 通信與DSP系統概述 290
10.2 通信與DSP系統設計實例 291
10.2.1 ASK數據機的設計 291
10.2.2 快速加法器的設計 298
10.2.3 快速乘法器的設計 302
10.2.4 CORDIC極坐標轉換器的設計 310
10.2.5 FIR數字濾波器的設計 314
10.2.6 IIR 數字濾波器的設計 319
10.3 本章小結 321
10.4 實驗練習 322

相關詞條

熱門詞條

聯絡我們