FPGA電子系統設計項目實戰(VHDL語言)

《FPGA電子系統設計項目實戰(VHDL語言)》是2014年7月1日出版的圖書,作者是王振紅。

基本介紹

  • 書名:FPGA電子系統設計項目實戰(VHDL語言)
  • 作者:王振紅
  • ISBN:9787302354666
  • 定價:69元
書籍信息,內容簡介,圖書目錄,

書籍信息

作者:王振紅
定價:69元
印次:1-1
ISBN:9787302354666
出版日期:2014.07.01
印刷日期:2014.06.10

內容簡介

本書第1章介紹FPGA電子系統的設計方法。第2章介紹MAX+plus Ⅱ使用方法。第3~7章介紹FPGA硬體描述語言VHDL的特點、VHDL語言中常用的數據、運算符、順序描述語句和並行描述語句、時鐘信號描述方法、有限狀態機等基本概念和套用。第8章與清華大學閻石主編的《數字電子技術基礎》(第4版)同步,內容包括門電路、組合邏輯電路、觸發器、時序邏輯電路,對其中的各種功能晶片以及基於VHDL及FPGA的實現方法進行了講解。第9章介紹FPGA外圍電路集成運算放大器及其各種套用。第10、11章對FPGA相關電路系統的設計進行實例介紹。本書可作為高等院校電類專業學生學習VHDL及FPGA的實訓教科書,也可供有關工程技術人員參考使用。

圖書目錄

第1章FPGA電子系統的設計方法
1.1電子系統設計
1.1.1總體方案確定
1.1.2子系統設計
1.2印製電路板設計
1.2.1準備工作
1.2.2元器件布局與布線
1.2.3印製焊盤的尺寸及形狀
1.2.4印製導線的尺寸及形狀
1.2.5印製導線的抗干擾和禁止
1.2.6印製電路板的對外連線
1.2.7表面貼裝技術對印製板的要求
1.3電子系統的安裝與調試
1.3.1電子系統的安裝
1.3.2元器件的引腳識別
1.3.3電子系統的調試
1.4電子系統抗干擾措施
1.4.1正確選擇器件
1.4.2正確分布元器件
1.4.3交流輸入電源增加電源濾波器
1.4.4施加禁止措施
1.4.5使用合理的接地方式
1.4.6電路加入濾波電容和補償電容
第2章MAX+plus Ⅱ使用方法
2.1編程存儲及編譯
2.2指定器件及編譯
2.3指定器件引腳及編譯
2.4下載
2.5存儲及編譯圖形描述(電路圖)
2.6仿真
第3章FPGA的硬體描述語言VHDL
3.1VHDL程式的特點
3.2VHDL程式的基本結構
3.2.1庫說明
3.2.2實體說明
3.2.3結構體說明
3.3VHDL的數據
3.3.1基本標誌符
3.3.2數據對象
3.3.3數據類型
3.4VHDL的表達式
3.4.1邏輯運算符
3.4.2算術運算符
3.4.3關係運算符
3.4.4並置運算符
3.4.5操作符的運算優先權
第4章VHDL的順序描述語句
4.1信號賦值語句和變數賦值語句
4.2if語句
4.3case語句
4.4for loop循環語句
4.5null語句
第5章VHDL的並行描述語句
5.1進程語句
5.2並發信號賦值語句
5.3條件信號賦值語句
5.4選擇信號賦值語句
5.5元件例化語句
5.6生成語句
第6章VHDL的時鐘信號描述方法
6.1時鐘信號的VHDL描述方法
6.1.1時鐘邊沿的描述
6.1.2時序電路中進程敏感信號是時鐘信號
6.2時序電路中復位信號的VHDL描述方法
6.2.1同步復位
6.2.2異步復位
第7章VHDL的有限狀態機的設計
7.1有限狀態機的基本概念
7.2一個Moore型有限狀態機的設計方法
第8章FPGA數字電路設計實例
8.1門電路FPGA設計
8.1.1與非門電路
8.1.2二輸入或非門電路
8.1.3二輸入異或門電路
8.1.4反向器門電路
8.1.5三態門電路
8.1.6單向匯流排緩衝器
8.1.7雙向匯流排緩衝器
8.2組合邏輯電路FPGA設計
8.2.1監視交通信號燈工作狀態的邏輯電路
8.2.28線—3線編碼器
8.2.38線—3線優先編碼器
8.2.4二—十進制編碼器
8.2.5解碼器(3線—8線)
8.2.6二—十進制解碼器
8.2.7BCD七段顯示解碼器
8.2.8代碼轉換電路
8.2.9四選一數據選擇器
8.2.10八選一數據選擇器
8.2.11四位全加器
8.2.12八位加法器
8.2.13多位數值比較器
8.3觸發器FPGA設計
8.3.1RS觸發器
8.3.2主從JK觸發器
8.3.3D觸發器
8.4時序邏輯電路FPGA設計
8.4.1暫存器
8.4.2雙向移位暫存器
8.4.3串列輸入並行輸出移位暫存器
8.4.4循環移位暫存器
8.4.5四位同步二進制計數器
8.4.6單時鐘同步十六進制加/減計數器
8.4.7雙時鐘同步十六進制加/減計數器
8.4.8同步十進制加法計數器
8.4.9單時鐘同步十進制可逆計數器
8.4.10異步二進制加法計數器
8.4.11同步一百進制計數器
8.4.12同步二十九進制計數器
8.4.13順序脈衝發生器
8.4.14序列信號發生器
8.4.15用狀態機方法設計十三進制計數器
8.4.16串列數據檢測器
8.4.17能自啟動的七進制計數器
8.4.18能自啟動的三位環形計數器
8.4.19用狀態機方法設計十進制減法計數器
第9章FPGA外圍電路集成運算放大器
9.1集成運算放大器典型電路
9.1.1反相比例運算電路
9.1.2同相比例運算電路
9.1.3反相求和運算電路
9.1.4同相求和運算電路
9.1.5加減運算電路
9.1.6積分運算電路
9.1.7微分運算電路
9.1.8壓控電壓源二階低通濾波器
9.1.9壓控電壓源二階高通濾波器
9.1.10RC橋式正弦振盪電路
9.1.11方波發生電路
9.1.12方波和三角波發生電路
9.1.13過零比較器
9.1.14一般單限比較器
9.1.15滯回比較器
9.1.16視窗比較器
9.1.17精密整流電路
9.2單電源供電的集成運算放大器的套用
9.2.1單電源集成運放的放大電路
9.2.2單電源集成運放的正弦波發生器
9.2.3單電源集成運放的方波三角波發生器
9.2.4單電源集成運放的低通濾波器
9.2.5單電源集成運放的高通濾波器
9.2.6單電源集成運放的比較器
9.2.7單電源比較器
9.2.8單電源比較器組成的視窗比較器
9.2.9高效率音頻功率放大器
9.2.10單電源集成運放綜合設計
9.3集成運算放大器的綜合套用
9.3.1小信號功率放大器
9.3.2具有放大、濾波及繼電器控制的模擬信號檢測與控制系統
9.3.3具有放大、F/V轉換及繼電器控制的模擬信號頻率檢測與
控制系統
9.3.4間歇正弦波控制路
9.3.5語音信號放大器
9.3.6程控放大器0~40dB
9.3.7寬頻放大器
9.3.8正弦交流電壓有效值控制的壓控振盪電路
9.3.9電壓轉換恆定電流電路
9.3.10實用溫控器
9.3.11用熱釋電感測器的報警電路
9.3.12基於PWM的LED調光電路
9.3.13具有放大、濾波器、計數功能的電子電路
第10章FPGA數字電路系統設計實例
10.1數位訊號的傳送和接收電路
10.2序列計數器
10.3設計一個自動售郵票的控制電路
10.4數字鎖
10.5設計一個汽車尾燈的控制電路
10.6交通燈控制器
10.7雙十字路口交通燈控制器
10.816×16的點陣顯示設計
10.9桌球遊戲機
10.10三層電梯控制器
10.11汽車停車場停車位顯示系統
10.12智力競賽搶答計時器的設計
10.13計程車計費器
10.14定時器
10.15秒表
10.16數字鐘
10.17數字頻率計
10.18電子琴電路設計
10.19《友誼地久天長》樂曲演奏電路設計
10.20暫存序列型信號發生器
10.21正負脈寬數控調製信號發生器設計
10.22智慧型函式發生器設計
10.23周期可調的多波形發生器
10.24模擬信號檢測
10.25數據採集及監控系統
第11章FPGA電子系統設計項目
11.1項目1FPGA控制的數碼顯示電路
11.1.1設計要求
11.1.2設計分析
11.1.3顯示原理
11.1.4驅動八位數碼管顯示電路框圖
11.1.5模組及模組功能
11.2項目2鍵盤控制電路
11.2.1設計要求
11.2.2設計分析
11.2.3設計思想與源程式
11.3項目3用8×8行共陰、列共陽雙色點陣發光器件顯示漢字
11.3.1設計要求
11.3.2設計分析
11.3.3器件及硬體電路
11.3.4設計軟體的思路及源程式
11.4項目4FPGA控制的數模D/A轉換電路
11.4.1設計要求
11.4.2設計分析
11.4.3DAC0832轉換器
11.4.4數模D/A轉換電路
11.4.5FPGA控制的數模D/A轉換電路
11.5項目5FPGA控制的模數A/D轉換0809的套用
11.5.1設計要求
11.5.2設計分析
11.5.3ADC0809轉換器及其轉換電路
11.5.4FPGA控制的模數A/D轉換電路
11.5.5用數碼管顯示模數A/D轉換器的輸入電壓
11.5.6ADC0809轉換模擬輸入負電壓電路
11.6項目6數控式可逆步進調壓直流穩壓電源
11.6.1設計要求
11.6.2原理及硬體電路
11.6.3軟體設計思想及源程式
11.7項目7數控式直流電流源
11.7.1設計指標及框圖
11.7.2硬體電路圖
11.7.3軟體設計思想及源程式
11.8項目8低頻數字式相位測量儀
11.8.1低頻數字式相位測量儀設計指標及框圖
11.8.2移相網路
11.8.3相位測量
11.9項目9多路數據採集系統
11.9.1設計內容
11.9.2現場模擬信號產生器
11.9.3八路數據採集器
11.9.4主控器
11.10項目10測量放大器
11.10.1測量放大器系統
11.10.2橋式電路
11.10.3信號變換放大器
11.10.4直流電壓放大器
11.10.5程控的直流電壓放大器
11.11項目11功率放大器
11.11.1設計任務
11.11.2功率放大器
11.11.3前置放大器
11.11.4系統測試
11.11.5自製穩壓電源
11.11.6集成功率放大器
11.12項目12開關型穩壓電源
11.12.1脈衝寬度調製電路MIC2194
11.12.2MC34060控制的串聯型開關穩壓電源
11.13項目13程控濾波器
11.13.1設計要求
11.13.2設計框圖
11.13.3程控放大器
11.13.4程控低通濾波器
11.13.5程控高通濾波器
11.13.6程控濾波器的FPGA控制核心
11.14項目14信號發生器
11.14.1設計要求
11.14.2信號發生器的功能及其內部接線
11.14.3信號發生器的FPGA內部結構
11.14.4調用MAX+plusⅡ10.2中的除法元件方法
11.15項目15交流電壓參數的測量
11.15.1設計要求
11.15.2給定的器件
11.15.3硬體電路
11.15.4軟體電路
11.16項目16寬頻放大器
11.16.1設計要求
11.16.2硬體電路
11.16.3軟體電路
11.17項目17高效率音頻功率放大器
11.17.1設計要求
11.17.2D類放大器的工作原理
11.17.3硬體電路
11.17.4軟體電路
11.18項目18數位化語音存儲與回放系統
11.18.1設計要求
11.18.2硬體電路
11.18.3軟體電路
11.19項目19數字式工頻有效值多用表
11.19.1硬體電路
11.19.2軟體電路
11.20項目20簡易電阻、電容和電感測量儀
11.20.1設計要求
11.20.2硬體電路
11.20.3軟體電路
11.21項目21數字幅頻均衡功率放大器
11.21.1設計要求
11.21.2設計框圖
11.21.3三級放大電路
11.21.4帶阻網路
11.21.5低通濾波電路
11.21.6A/D轉換
11.21.7D/A轉換和功率放大器
11.21.8FPGA程式
附錄: 部分圖片索引
參考文獻

相關詞條

熱門詞條

聯絡我們