EDA技術與VHDL(第4版)

EDA技術與VHDL(第4版)

《EDA技術與VHDL(第4版)》是2013年3月20日清華大學出版社出版的圖書,作者是潘松、黃繼業。

基本介紹

  • 書名:EDA技術與VHDL
  • 作者:潘松、黃繼業
  • ISBN:9787302311959
  • 定價:38元
  • 出版社:清華大學出版社.
  • 出版時間:2013年3月20日
  • 裝幀:平裝
  • 開本:16開
  • 印次:4-1
內容簡介,圖書前言,圖書目錄,

內容簡介

《高等院校電子信息科學與工程規劃教材:EDA技術與VHDL(第4版)》系統介紹了EDA技術和VHDL硬體描述語言,將VHDL的基礎知識、編程技巧和實用方法與實際工程開發技術在先進的EDA設計平台——Quartus Ⅱ上很好地結合起來,使讀者能通過《高等院校電子信息科學與工程規劃教材:EDA技術與VHDL(第4版)》的學習迅速了解並掌握EDA技術的基本理論和工程開發實用技術,並為後續的深入學習和發展打下堅實的理論與實踐基礎。
作者依據高校課堂教學和實驗操作的規律與要求,並以提高學生的實際工程設計能力和自主創新能力為目的,對全書內容作了恰當的編排。全書共分為7個部分:EDA技術概述、VHDL語法知識及其實用技術、Quartus JI及LPM宏模組的詳細使用方法、基於Verilog的有限狀態機設計技術、基於VHDL的16位實用CPU設計技術及創新實踐項目、基於ModelSim的Test Bench仿真技術、基於MATLAB和DSPBuilder平台的EDA設計技術及大量實用系統設計示例。除個別章節外,各章都安排了相應的習題和大量針對性強的實驗和設計項目。書中列舉的VHDL示例都經編譯通過或經硬體測試。
《高等院校電子信息科學與工程規劃教材:EDA技術與VHDL(第4版)》主要面向高等院校本、專科EDA技術和VHDL語言基礎課,推薦作為電子工程、通信、工業自動化、計算機套用技術、電子對抗、儀器儀表、數位訊號或圖像處理等專業和相關實驗指導課的授課教材或主要參考書,同時也可作為電子設計競賽、FPGA開發套用的自學參考書。

圖書前言

與前幾個版本相比,新版的內容與結構都有了較大變化,主要表現在以下幾個方面。
(1)為了突出重點、精簡篇幅,將有關EDA技術、硬體描述語言導言的內容、EDA工具基本知識及FPGA與CPLD結構原理等基本概念的內容都放在了第1章。
(2)對硬體描述語言的講述,改用了比較系統和循序漸進的講述方法,確保了HDL基本內容的完整性和系統性。為了便於讀者理解和提高學習效率,給出了大量有很好針對性的HDL設計示例。這部分內容主要包含在第2、第3和第5章中。
(3)為了將學到的HDL知識儘快付諸實踐,更好地從結合工程實際的層面來檢驗學習效果,在較早階段就安排了對應的硬體設計技術的學習和豐富的實驗內容,同時將HDL知識的學習有機地融入了實驗實踐中。這部分內容主要包含在第4和第6章中。
(4)第7章主要對前面的章節內容進行了歸納綜合,其中許多內容是新加入的,為後續的學習奠定了更堅實的基礎。
(5)第8章的內容相比前一版有所擴充,主要是圍繞有限狀態機的設計,介紹了更為實用的EDA技術和HDL編程設計方法,其中增加了許多新的、更貼近工程實際的狀態機設計與套用方面的實用知識。
(6)第9章的內容基本是新增加的,其中介紹了一個較大的設計項目,即一個有實用意義的16位CPU的設計。這是一個很好的訓練項目,是對之前的理論知識和實踐能力的綜合運用,而且此章內容還可以作為一個創新設計競賽項目。首先給出一個完整的CPU設計原型和詳細的設計流程,然後在這個基礎上向參賽者提出不同層次要求的創新設計方案和系統設計要求。此類教學和實踐內容在國外高校同類課程和教材中經常出現。
(7)第10章主要介紹基於ModelSim的Test Bench仿真技術。這部分內容也是新增加的,這主要考慮到Quartus II10.0後不再支持內置的門級仿真器,而Test Bench仿真技術在EDA技術中更具一般性,適用領域更廣、更實用。
(8)第11章和第12章主要介紹基於DSP-Builder和MATLAB/Simulink的EDA技術,其中包括了大量設計示例和對應的實驗。這部分內容主要是面向涉及複雜算法的EDA系統設計,如數字通信領域中的系統功能模組的設計或高速DSP硬體系統的設計,為EDA技術和複雜數字系統的設計和驗證拓寬了領域,使不同的EDA工具輕易地融為一體,從而強化了各類EDA工具的優勢。
隨著Quartus II和DSP-Builder版本的升級,這部分內容也有很大的變化和擴充,本書對原有的內容作了更新。
與本書VHDL內容相對應的Verilog HDL教材可參考清華大學出版社出版的《EDA技術與Verilog HDL》。
基於工程領域中EDA技術套用的巨大實用價值,以及重視EDA教學中實踐能力和創新意識培養的極端重要性,本書的特色主要表現在如下兩個方面。
1.注重實踐能力和創新能力的培養
本書在絕大部分章節中都安排了針對性較強的實驗與設計項目,使學生對每章的課堂教學內容能及時得以消化和強化,並儘可能地使學生從一開始學習就有機會將理論知識與實踐、自主設計緊密聯繫起來。
全書包含數十個實驗及其相關的設計項目,這些項目涉及的EDA工具軟體類型較多、技術領域也較寬、知識涉獵密集、針對性強,而且能啟發自主創新意識。與書中的示例相同,所有的實驗項目都通過了EDA工具的仿真測試及FPGA平台的硬體驗證。每一個實驗項目除了給出詳細的實驗目的、實驗原理和實驗報告外,還都包含2~5個子項目或子任務。它們通常分為:第一個層次的實驗任務是與該章某個闡述內容相關的驗證性實驗,並提供詳細的並被驗證的設計源程式和實驗方法,學生只需將提供的設計程式輸入計算機,並按要求進行編譯仿真,在實驗系統上實現即可,這使學生有一個初步的感性認識,也提高了實驗的效率;第二個層次的實驗任務是要求在上一實驗基礎上做一些改進和發揮;第三個層次的實驗通常是提出自主設計的要求和任務;第四、第五個實驗層次則在僅給出一些提示的情況下提出自主創新性設計的要求。因此,教師可以根據學時數、教學實驗的要求以及不同的學生對象,布置不同層次、不同任務的實驗項目。
2.注重教學選材的靈活性和完整性相結合
本教材結構靈活的特點決定了授課課時數可長可短,應視具體的專業特點、課程定位及學習者的前期教育力度等因素而定,大致在30~54學時。考慮到EDA技術課程的特徵和本教材的特色,具體教學可以是粗放型的,其中多數內容,特別是實踐項目,都可直接放手於學生,讓學生自己去查閱資料、提出問題、解決問題,乃至創新與創造,而授課教師只需做一個啟蒙者、引導者、鼓勵者和學生成果的檢驗者和評判者。授課的過程多數情況只需點到為止,大可不必拘泥細節、面面俱到。但有一個原則,即安排的實驗學時數應多多益善。
事實上,任何一門課程的學時數總是有限的,為了有效倍增學生的實踐和自主設計的時間,可以借鑑清華大學的一項教改措施,即其電子系本科生從一入學就人手獲得一塊FPGA實驗開發板,可從本科一年級一直用到研究生畢業。這是因為EDA技術本身就是一個可把全部實驗和設計帶回家的課程。
我校對於這門課程也基本採用了這一措施,即每個上EDA課的同學都可借出一套EDA實驗板,使他們能利用自己的計算機在課餘時間完成自主設計項目,強化學習效果。實踐表明,這種安排使得實驗課時得到有效延長,教學成效明顯提高。
我們建議應該積極鼓勵學生利用課餘時間儘可能學完本書的全部內容,掌握本書介紹的所有EDA工具軟體和相關開發手段,並儘可能多完成本書配置的實驗和設計任務。甚至能參考教材中的要求,安排相關的創新設計競賽,進一步激發學生的學習積極性和主動性,並培養他們的動手能力和自主創新能力。
還有一個問題有必要在此探討,即自主創新能力的培養儘管重要,但其有效提高絕非一朝一夕之事。多年的教學實踐告訴我們,針對這一命題的教改必須從兩方面入手:一是教學內容,二是設課時間,二者互為聯繫,不可偏廢。前者主要指建立一個內在相關性好、設課時間靈活,且易於將創新能力培養寓於知識傳播之中的課程體系。後者主要指在課程安排的時段上,將這一體系的課程儘可能地提前。這一舉措是成功的關鍵,因為我們不可能到了本科三、四年級才去關注能力培養,並期待奇蹟發生,更不可能指望一兩門課程就能解決問題。
尤其是以卓越工程師為培養目標的工科高等教育,自主創新能力的培養本身就是一項教學雙方必須投入密集實踐和探索的創新活動。我校的EDA技術國家級精品課程正是針對這一教改目標建立的課程體系,而“數字電子技術基礎”是這一體系的組成部分和先導課程。它的提前設課是整個課程體系提前的必要條件。
通過數年的試點教學實踐和經驗總結,現已成功在部分本科學生中將此課程的設課時間從原來的第4學期或第5學期提前到了第1或第2學期。而這一體系的其他相關課程,如EDA技術、單片機(相關教材是清華大學出版社的《單片機原理與套用技術》,潘明、黃繼業等編著)、SOC片上系統、計算機接口、嵌入式系統和DSP等也相應提前,從而使學生到本科二年級時就具備了培養工程實踐和自主開發的能力。
不可否認,數字電路課程的大幅提前必須要以其教學內容的改革為前提,否則將傳統的教學內容強行提前必將歸於失敗。為此,在總結了數字電路多年教改成果的基礎上,我們推出了適應新需求的教材,即《實用數字電子技術基礎》一書,很好地適應了當前的教改目標。此書創新性地解決了傳統教材中的手工數位技術與現代自動化數位技術間的關係,使二者能平穩過渡且有機融合,在有效促進理論與實踐緊密結合的同時,強化實踐訓練突顯了創新意識,同時實現了與後續課程的良好銜接。儘管這一切尚處於我校的局部教學實踐中,但已清晰地展示了諸多頗具說服力的證明。
例如,相比於其他學生(如同年級、同專業、同授業課程等),那些曾經參加這一課程體系的學生在大學生電子設計競賽、飛思卡爾車模大賽以及一些國外企業主導的自主設計賽事中,都獲得了更多的獎項和更好的成績。而且這些學生的獲獎比例逐年提高,例如,在2011年我校的全國大學生電子設計競賽的一、二等獎項(分別是3個和6個)的獲獎同學中,本科二年級學生的比例高達80%(該年我校三年級學生多數選擇考研複習,未參賽)。若按傳統的工科本科教學流程,二年級學生就有能力獲全國一等獎是不可想像的!
其實,類似的教改活動和教改成績,我校遠非唯一。國內早有不少院校將數字電路放在第1或第2學期,其實踐訓練的內容包括超過數萬至數十萬邏輯門規模的數字系統自主設計,不少受益的學生在各類電子設計競賽中也都獲得了好成績。前面提到的清華大學的教改活動也說明,他們至少有部分學生於本科一年級就有數字系統設計方面的訓練;後來的調研也證明了這一點,如該校計算機專業本科二年級學生就能自主設計出各種極具創新特色的數字系統,如語音處理及數字立體聲播放、硬體超級瑪麗遊戲顯示與控制系統等;又如東南大學在某次省級數字電路課程電子設計競賽中,有一組同學完成了指紋識別數字鎖的設計而獲一等獎;再如美國Michigan大學本科一年級學生就能設計數字電子琴這樣的複雜系統,其中包括用FPGA控制VGA顯示五線譜,PS/2鍵盤作為琴鍵及數字立體聲音樂播放等。
另外有兩個問題必須在此說明。
第一是關於本書對於Quartus II版本選擇的問題。儘管目前Altera早已頒布了Quartus II12.0,但本書中的示例說明仍然選擇Quartus II9.x。這是因為Altera已將Quartus II10.0及此後版本軟體中一貫內置的門級波形仿真器移除了,並推薦使用接口於Quartus II的ModelSim-Altera仿真器。然而這一舉措對於初學者未必是一個好訊息。因為Quartus II9.x及之前版本軟體中一直內置的波形仿真器具有易學、高效和便捷的巨大優勢,對EDA教學和初學者的學習是十分重要的。況且Quartus II9.x與Quartus II12.0比,總體上變化不大,且本書在第10章中針對Test Bench仿真,重點介紹了ModelSim-Altera的使用方法。
第二是針對本書中的實驗和實踐項目所能提供的演示示例源設計檔案的問題。本書中多數實驗都能提供經硬體驗證調試好的演示示例源檔案,目的是讓讀者能順利完成實驗驗證和設計;有的示例是希望能啟發或引導讀者完成更有創意的設計,其中一些示例儘管看上去頗有創意,但不能說是最佳或最終結果,這給讀者留有許多改進和發揮的餘地。此外還有少數示例無法提供原始碼(只能提供演示檔案),是考慮到本書作者以外設計者的著作權,但這些示例仍能給讀者在設計的可行性、創意和創新方面以寶貴的啟示。所有與本書相關的設計示例和實驗項目的源設計程式和工程項目(包括第9章的CPU設計和第11、第12章基於DSP-Builder/MATLAB的設計)都可瀏覽相關網址或與作者聯繫免費索取。

圖書目錄

第1章 EDA技術概述 1
1.1 EDA技術 1
1.2 EDA技術套用對象 2
1.3 硬體描述語言VHDL 3
1.4 EDA技術的優勢 4
1.5 面向FPGA的EDA開發流程 5
1.5.1 設計輸入 5
1.5.2 綜合 6
1.5.3 適配(布線布局) 8
1.5.4 仿真 8
1.5.5 RTL描述 9
1.6 可程式邏輯器件 9
1.6.1 PLD的分類 10
1.6.2 PROM可程式原理 11
1.6.3 GAL 12
1.7 CPLD的結構與可程式原理 13
1.8 FPGA的結構與工作原理 16
1.8.1 查找表邏輯結構 16
1.8.2 Cyclone III系列器件的結構原理 17
1.9 硬體測試技術 19
1.9.1 內部邏輯測試 19
1.9.2 JTAG邊界掃描測試 20
1.10 編程與配置 20
1.11 Quartus II 21
1.12 IP核 23
1.13 EDA的發展趨勢 23
習題 25
第2章 VHDL程式結構與數據對象 26
2.1 VHDL程式結構 26
2.2 VHDL程式基本構建 28
2.2.1 實體和連線埠模式 28
2.2.2 結構體 29
2.2.3 庫和庫的種類 30
2.2.4 庫和程式包的調用方法 32
2.2.5 配置 33
2.3 VHDL文字規則 33
2.3.1 數字 34
2.3.2 字元串 34
2.3.3 關鍵字 35
2.3.4 標識符及其表述規則 35
2.3.5 檔案取名和存檔 36
2.3.6 規範的程式書寫格式 36
2.4 VHDL數據對象 37
2.4.1 常數 37
2.4.2 變數 37
2.4.3 信號 38
習題 40
第3章 VHDL數據類型與順序語句 41
3.1 VHDL數據類型 41
3.1.1 BIT和BIT_VECTOR類型 42
3.1.2 STD_LOGIC和STD_LOGIC_VECTOR類型 42
3.1.3 整數類型INTEGER 44
3.1.4 布爾數據類型BOOLEAN 45
3.1.5 SIGNED和UNSIGNED類型 45
3.1.6 其他預定義類型 47
3.1.7 數據類型轉換函式 48
3.2 VHDL最常用的順序語句 50
3.2.1 賦值語句 50
3.2.2 CASE語句 51
3.2.3 PROCESS語句 52
3.2.4 並置操作符& 53
3.2.5 IF語句 53
3.3 IF語句使用示例 54
3.3.1 D觸發器的VHDL描述 55
3.3.2 含異步復位和時鐘使能的D觸發器的VHDL描述 57
3.3.3 基本鎖存器的VHDL描述 58
3.3.4 含清0控制的鎖存器的VHDL描述 60
3.3.5 VHDL實現時序電路的不同表述方式 60
3.3.6 4位二進制加法計數器設計 62
3.3.7 計數器更常用的VHDL表達方式 63
3.3.8 實用計數器的VHDL設計 64
3.3.9 含同步並行預置功能的8位移位暫存器設計 67
3.3.10 優先編碼器設計 68
3.4 VHDL其他順序語句 69
3.4.1 LOOP循環語句 69
3.4.2 NEXT語句 70
3.4.3 EXIT語句 71
3.4.4 WAIT語句 72
3.4.5 GENERIC參數定義語句 75
3.4.6 REPORT語句 75
3.4.7 斷言語句 76
3.4.8 連線埠數據含1個數統計電路模組設計 78
習題 79
第4章 時序仿真與硬體實現 80
4.1 VHDL程式輸入與仿真測試 80
4.1.1 編輯和輸入設計檔案 80
4.1.2 創建工程 81
4.1.3 全程編譯前約束項目設定 82
4.1.4 全程綜合與編譯 83
4.1.5 仿真測試 84
4.1.6 RTL圖觀察器套用 86
4.2 引腳鎖定與硬體測試 87
4.2.1 引腳鎖定 87
4.2.2 編譯檔案下載 88
4.2.3 JTAG間接編程模式 89
4.2.4 USB-Blaster驅動程式安裝方法 90
4.3 電路原理圖設計流程 91
4.3.1 用原理圖輸入方式設計半加器 91
4.3.2 完成全加器頂層設計 93
4.3.3 對全加器進行時序仿真和硬體測試 93
4.4 利用屬性表述實現引腳鎖定 94
4.5 宏模組邏輯功能查詢 95
4.6 SignalTap II的使用方法 95
4.7 編輯SignalTap II的觸發信號 100
習題 100
實驗與設計 101
實驗4-1 多路選擇器設計實驗 101
實驗4-2 十六進制7段數碼顯示解碼器設計 102
實驗4-3 計數器設計實驗 103
實驗4-4 硬體消抖動電路設計 103
實驗4-5 套用宏模組設計數字頻率計 104
實驗4-6 數碼掃描顯示電路設計 108
實驗4-7 串列靜態顯示控制電路設計 108
實驗4-8 不同類型的移位暫存器設計實驗 109
第5章 VHDL並行語句 110
5.1 並行信號賦值語句 110
5.1.1 簡單信號賦值語句 110
5.1.2 條件信號賦值語句 111
5.1.3 選擇信號賦值語句 112
5.1.4 塊語句 113
5.1.5 元件例化語句 113
5.1.6 全加器設計與例化語句套用 114
5.1.7 生成語句 116
5.1.8 GENERIC參數傳遞映射語句及其使用方法 118
5.1.9 數據類型定義語句 120
5.1.10 VHDL的存儲器描述 123
5.1.11 信號屬性及屬性函式 124
5.2 VHDL運算操作符 127
5.2.1 邏輯操作符 127
5.2.2 關係操作符 129
5.2.3 算術操作符 130
5.2.4 省略賦值操作符 133
5.3 keep屬性套用 133
5.4 SignalProbe使用方法 134
習題 136
實驗與設計 137
實驗5-1 8位加法器設計實驗 137
實驗5-2 高速硬體除法器設計 137
實驗5-3 移位相加型8位硬體乘法器設計 138
實驗5-4 基於VHDL代碼的頻率計設計 139
實驗5-5 VGA彩條信號顯示控制電路設計 140
第6章 LPM宏模組套用 145
6.1 LPM計數器模組調用 145
6.1.1 計數器模組文本的調用與參數設定 145
6.1.2 創建工程與仿真測試 148
6.2 乘法器的VHDL代碼表述和相關屬性設定 148
6.3 LPM 隨機存儲器的設定和調用 149
6.3.1 存儲器初始化檔案 149
6.3.2 LPM_RAM的設定和調用 151
6.3.3 仿真測試RAM宏模組 152
6.3.4 存儲器配置檔案屬性定義和結構設定 153
6.4 LPM_ROM的定製和使用示例 154
6.4.1 LPM_ROM的定製調用和測試 154
6.4.2 簡易正弦信號發生器設計 155
6.4.3 正弦信號發生器硬體實現和測試 156
6.5 在系統存儲器數據讀寫編輯器套用 156
6.6 LPM嵌入式鎖相環調用 158
6.7 In-System Sources and Probes Editor使用方法 160
6.8 DDS實現原理與套用 162
6.8.1 DDS原理 163
6.8.2 DDS信號發生器設計示例 164
習題 166
實驗與設計 166
實驗6-1 查表式硬體運算器設計 166
實驗6-2 正弦信號發生器設計 167
實驗6-3 DDS正弦信號發生器設計 167
實驗6-4 簡易邏輯分析儀設計 168
實驗6-5 移相信號發生器設計 169
實驗6-6 VGA簡單圖像顯示控制模組設計 169
實驗6-7 AM幅度調製信號發生器設計 170
第7章 VHDL設計深入 172
7.1 進程中的信號賦值與變數賦值 172
7.2 含高阻輸出的電路設計 176
7.2.1 三態門設計 177
7.2.2 雙向連線埠的設計方法 177
7.2.3 三態匯流排電路設計 179
7.3 資源最佳化 180
7.3.1 資源共享 181
7.3.2 邏輯最佳化 182
7.3.3 串列化 183
7.4 速度最佳化 185
7.4.1 流水線設計 185
7.4.2 關鍵路徑法 187
7.5 仿真延時 188
7.5.1 固有延時 188
7.5.2 傳輸延時 189
7.5.3 仿真δ 189
習題 190
實驗與設計 191
實驗7-1 4X4陣列鍵盤鍵信號檢測電路設計 191
實驗7-2 樂曲硬體演奏電路設計 192
實驗7-3 PS2鍵盤控制模型電子琴電路設計 195
實驗7-4 直流電機綜合測控系統設計 197
實驗7-5 SPWM脈寬調製控制系統設計 199
實驗7-6 VGA動畫圖像顯示控制電路設計 201
第8章 VHDL狀態機設計與套用 202
8.1 VHDL狀態機的一般形式 202
8.1.1 狀態機的特點與優勢 202
8.1.2 VHDL狀態機的一般結構 203
8.1.3 狀態機設計初始約束與表述 206
8.2 Moore型狀態機的設計 207
8.2.1 多進程狀態機 208
8.2.2 序列檢測器之狀態機設計 211
8.3 Mealy型狀態機的設計 212
8.4 狀態編碼 216
8.4.1 直接輸出型編碼 216
8.4.2 順序編碼 218
8.4.3 一位熱碼狀態編碼 218
8.4.4 狀態編碼設定 218
8.5 安全狀態機設計 220
8.5.1 程式直接導引法 221
8.5.2 狀態編碼監測法 221
8.5.3 藉助EDA最佳化控制工具生成安全狀態機 222
習題 222
實驗與設計 222
實驗8-1 序列檢測器設計 222
實驗8-2 並行ADC採樣控制電路實現與硬體驗證 223
實驗8-3 數據採集模組設計 224
實驗8-4 五功能智慧型邏輯筆設計 225
實驗8-5 串列ADC/DAC採樣或信號輸出控制電路設計 226
第9章 基於VHDL的實用CPU創新設計 227
9.1 KX9016的結構與特色 227
9.2 KX9016基本硬體系統設計 230
9.2.1 單步節拍發生模組 230
9.2.2 運算器 230
9.2.3 比較器 231
9.2.4 基本暫存器與暫存器陣列組 232
9.2.5 移位器 235
9.2.6 程式與數據存儲器 236
9.3 KX9016v1指令系統設計 236
9.3.1 指令格式 237
9.3.2 指令操作碼 238
9.3.3 軟體程式設計實例 239
9.3.4 KX9016 v1控制器設計 240
9.3.5 指令設計實例詳解 244
9.4 KX9016的時序仿真與硬體測試 245
9.4.1 時序仿真與指令執行波形分析 245
9.4.2 CPU工作情況的硬體測試 247
9.5 KX9016應用程式設計實例和系統最佳化 249
9.5.1 除法算法及其硬體實現 249
9.5.2 乘法算法及其硬體實現 250
9.5.3 KX9016v1的硬體系統最佳化 251
習題 252
實驗與設計 253
實驗9-1 16位CPU驗證性設計綜合實驗 253
實驗9-2 新指令設計及程式測試實驗 253
實驗9-3 16位CPU的最佳化設計與創新 254
實驗9-4 CPU創新設計競賽 255
第10章 VHDL TEST BENCH仿真 256
10.1 VHDL行為仿真流程 257
10.2 VHDL測試基準實例 259
10.3 VHDL Test Bench測試流程 261
10.4 VHDL子程式 263
10.4.1 函式 264
10.4.2 重載函式 266
10.4.3 決斷函式 269
10.4.4 過程 269
10.4.5 重載過程 271
10.4.6 子程式調用語句 272
10.4.7 RETURN語句 274
10.4.8 並行過程調用語句 275
10.5 VHDL程式包 276
習題 279
實驗與設計 279
第11章 DSP BUIDER設計初步 280
11.1 MATLAB/DSP Builder及其設計流程 280
11.2 正弦信號發生器設計 283
11.2.1 建立設計模型 283
11.2.2 Simulink模型仿真 289
11.2.3 SignalCompiler使用方法 292
11.2.4 使用ModelSim進行RTL級仿真 293
11.2.5 使用Quartus II實現時序仿真 294
11.2.6 硬體測試與硬體實現 295
11.3 DSP Builder層次化設計 296
11.4 基於DSP Builder的DDS設計 299
11.4.1 DDS模組設計 299
11.4.2 FSK調製器設計 301
11.4.3 正交信號發生器設計 303
11.4.4 數控移相信號發生器設計 304
11.4.5 幅度調製信號發生器設計 304
11.5 數字編碼與解碼器設計 306
11.5.1 偽隨機序列 306
11.5.2 幀同步檢出 307
11.6 HIL硬體仿真 309
習題 313
實驗與設計 314
實驗11-1 利用MATLAB/DSP Builder設計基本電路模組 314
實驗11-2 基於DSP Builder的DDS套用模型設計 315
實驗11-3 編解碼器設計實驗 316
實驗11-4 HIL硬體環仿真實驗 317
實驗11-5 DSP Builder狀態機套用實驗 317
第12章 DSP BUILDER設計深入 319
12.1 FIR數字濾波器設計 319
12.1.1 FIR濾波器原理 319
12.1.2 使用DSP Builder設計FIR濾波器 320
12.1.3 使用MATLAB的濾波器設計工具 324
12.1.4 使用FIR IP Core設計FIR濾波器 328
12.2 HDL模組插入仿真與設計 332
12.3 正交幅度調製與解調模型設計 335
12.4 NCO IP核套用 337
12.5 基於IP的數字編解碼器設計 339
習題 340
實驗與設計 341
實驗12-1 FIR數字濾波器設計實驗 341
實驗12-2 編解碼器與調製解調模組設計實驗 342
實驗12-3 HDL Import模組套用實驗 342
附錄A EDA開發系統及相關軟硬體 343
參考文獻 349

相關詞條

熱門詞條

聯絡我們