EDA技術及套用:VHDL版

EDA技術及套用:VHDL版

《EDA技術及套用:VHDL版》是 2011年3月1日由 西安電子科技大學出版社出版的圖書,作者是譚會生。

基本介紹

  • 書名:EDA技術及套用:VHDL版
  • 作者:譚會生
  • ISBN:9787560625492
  • 定價:45.00元
  • 出版社西安電子科技大學出版社
  • 出版時間:2011年3月1日
  • 開本:16開
內容簡介,圖書目錄,

內容簡介

《EDA技術及套用:VHDL版(第3版)》內容分為五個部分,前四部分為正文,共七章,第五部分為附錄。第一部分概括地闡述了EDA技術及套用的有關問題(第1章);第二部分比較全面地介紹了EDA技術的主要內容,包括EDA的物質基礎——Lattice、Altera和Xilinx公司主流大規模可程式邏輯器件FPGA/CPL.D的品種規格、性能參數、組成結構及原理(第2章),EDA的主流表達方式——VHDL,的編程基礎(第3章),EDA的設計開發軟體——QutrtusII8.0、ISESuite10.1、ispl..EVER8.1、Synpli母PRO7.6、ModelSimSE6.0等五個常用EDA工具軟體的安裝與使用(第4章),EDA的實驗開發系統——通用EDA實驗開發系統的基本組成、工作原理、性能指標及GW48型EDA實驗開發系統的結構及使用方法(第5章);第三部分提供了12個綜合性的EDA套用設計實例(第6章),包括數位訊號處理、智慧型控制、神經網路中經常用到的高速PID控制器、FIR濾波器、CORDIC算法的套用等實例;第四部分是EDA技術實驗(第7章);第五部分是附錄,包括常用FPGA/CPID管腳圖、利用WWW進行EDA資源的檢索等內容。
《EDA技術及套用:VHDL版(第3版)》可供高等院校電子工程、通信工程、自動化、計算機套用、儀器儀表等信息工程類及相近專業的本科生或研究生使用,也可作為相關人員的自學參考書。
《EDA技術及套用:VHDL版(第3版)》配有電子教案,有需要者可登錄出版社網站下載。

圖書目錄

第1章 緒論
1.1 EDA技術的涵義
1.2 EDA技術的發展歷程
1.3 EDA技術的主要內容
1.3.1 大規模可程式邏輯器件
1.3.2 硬體描述語言(m)L)
1.3.3 EDA軟體開發工具
1.3.4 EDA實驗開發系統
1.4 EDA軟體系統的構成
1.5 EDA工具的發展趨勢
1.6 EDA的工程設計流程
1.6.1 FPGA/CPI..D工程設計流程
1.6.2 ASIC工程設計流程
1.7 數字系統的設計
1.7.1 數字系統的設計模型
1.7.2 數字系統的設計方法
1.7.3 數字系統的設計準則
1.7.4 數字系統的設計步驟
1.8 EDA技術的套用展望
習題
第2章 大規模可程式邏輯器件
2.1 可程式邏輯器件概述
2.1.1 PLD的發展進程
2.1.2 PLD的分類方法
2.1.3 常用CPL.D和FPGA標識的含義
2.2 Lattice公司的CPID和FPGA器件
2.2.1 Lattice公司的CPLD和FPGA概述
2.2.2 ispI~SI/pLSI系列CPL。D結構
2.2.3 ispMACH系列CPLD結構
2.2.4 EC/ECP系列FPGA結構
2.2.5 XP/XP2系列FPGA結構
2.2.6 MachXO系列FPGA結構
2.3 Altera公司的CPID和FPGA器件
2.3.1 Altera公司的CPLD和FPGA概述
2.3.2 MAX系列CP[D結構
2.3.3 MAXII系列CPLD結構
2.3.4 Cyclone系列FPGA結構
2.3.5 Stratix系列FPGA結構
2.4 Xilinx公司的CPLD和FPGA器件
2.4.1 Xilinx公司的CPLD和FPGA楣述
2.4.2 XC9500系列CPLD結構
2.4.3 CoolRunner系列CPLD結構
2.4.4 Spartan系列FPGA結構
2.4.5 Virtex系列FPGA結構
2.5 CPID和FPGA的編程與配置
2.5.1 CPID和FPGA的編程配置
2.5.2 CPLD和FPGA的下載接口
2.5.3 CPID器件的編程電路
2.5.4 FPGA器件的配置電路
2.6 FPGA和CPID的開發套用選擇
習題
第3章 VHDL編程基礎
3.1 概述
3.1.1 常用硬體描述語言簡介
3.1.2 VHDI..的優點
3.1.3 VHDI..程式設計約定
3.2 VHDL.程式基本結構
3.2.1 VHDL。程式設計舉例
3.2.2 VHDL,程式的基本結構
3.2.3 庫、程式包使用說明
3.2.4 實體描述
3.2.5 結構體描述
3.2.6 結構體配置
3.3 VHDL語言要素
3.3.1 VHDL文字規則
3.3.2 VHDL數據對象
3.3.3 VHDL數據類型
3.3.4 VHDL操作符
3.4 VHDL順序語句
3.4.1 賦值語句
3.4.2 轉向控制語句
3.4.3 等待語句
3.4.4 子程式調用語句
3.4.5 返回語句
3.4.6 空操作語句
3.4.7 其他語句和說明
3.5 VHDI.,並行語句
3.5.1 進程語句
3.5.2 塊語句
3.5.3 並行信號賦值語句
3.5.4 並行過程調用語句
3.5.5 元件例化語句
3.5.6 生成語句
3.6 子程式
3.6.1 函式
3.6.2 重載函式
3.6.3 過程
3.6.4 重載過程
3.7 程式包
3.8 VHDL.描述風格
3.8.1 行為描述
3.8.2 數據流描述
3.8.3 結構描述
3.9 基本邏輯電路設計
3.9.1 組合邏輯電路設計
3.9.2 時序邏輯電路設計
3.9.3 存儲器電路設計
3.10狀態機的VHDL.設計
3.10.1 狀態機的基本結構和功能
3.10.2 一般狀態機的VHDL設計
3.10.3摩爾狀態機的VHDL設計
3.10.4 米立狀態機的VHDL設計
習題
第4章 常用EDA工具軟體操作指南
4.1 常用EDA工具軟體安裝指南
4.2 常用EDA工具軟體操作用例
4.2.1 4位十進制計數器電路
4.2.2 計數動態掃描顯示電路
4.2.3 EDA仿真測試模型及程式
4.3 AlteraQuartusII操作指南
4.3.1 QuartusII的初步認識
4.3.2 QuartusII的基本操作
4.3.3 Quartus1I的綜合操作
4.3.4 QuartuslI的SOPC開發
4.4 XilinxISEDesignSuite操作指南
4.4.1 XilinxISE的初步認識
4.4.2 ISESuite的基本操作
4.4 13ISESuite的綜合操作
4.5 LatticeispI.,EVEL操作指南
4.5.1 ispLEVEL的初步認識
4.5.2 ispLEVE[。的基本操作
4.5.3 ispl.,EVEL,的綜合操作
4.6 SynplicitySynplifyPRO操作指南
4.6.1 SynplifyPRO的使用步驟
4.6.2 SynplifyPRO的使用實例
4.7 Mentor.GraphicsModelSim操作指南
4.7.1 ModelSim的使用步驟
4.7.2 ModelSim的使用實例
習題
第5章 EDA實驗開發系統
5.1 通用EDA實驗開發系統概述
5.1.1 EDA實驗開發系統的基本組成
5.1.2 EDA實驗開發系統的性能指標
5.1.3 通用EDA實驗開發系統的工作原理
5.1.4 通用EDA實驗開發系統的使用方法
5.2 GW48型:EDA實驗開發系統的使用
5.2.1 GW48型EDA實驗開發系統介紹
5.2.2 GW48實驗電路結構圖
5.2.3 GW48系統結構圖信號名與晶片引腳對照表
5.2.4 GW48型EDA實驗開發系統使用實例
習題
第6章 VHDL設計套用實例
6.1 8位加法器的設計
6.2 8位乘法器的設計
6.3 8位除法器的設計
6.4 PWM信號發生器的設計
6.5 數字頻率計的設計
6.6 數字秒表的設計
6.7 單片機匯流排接口電路的設計
6.8 交通燈信號控制器的設計
6.9 高速PID控制器的設計
6.10 FIR濾波器的設計
6.11 CORDIC算法的套用設計
6.12 鬧鐘系統的設計
6.12.1 系統設計思路
6.12.2 VHDL源程式
6.12.3 仿真結果驗證
6.12.4 邏輯綜合分析
6.12.5 硬體邏輯驗證
習題
第7章 EDA技術實驗
7.1 實驗一:8位加法器的設計
7.2 實驗二:序列檢測器的設計
7.3 實驗三:PWM信號發生器的設計
7.4 實驗四:數字頻率計的設計
7.5 實驗五:數字秒表的設計
7.6 實驗六:交通信號燈控制器的設計
7.7 實驗報告範例
附錄1 常用FPGA/CPLD管腳圖
附錄2 利用WWW進行EDA資源的
檢索
主要參考文獻

相關詞條

熱門詞條

聯絡我們