數字系統設計與Verilog HDL第3版

數字系統設計與Verilog HDL第3版

《數字系統設計與Verilog HDL第3版》是2009年電子工業出版社出版的圖書,作者是王金明

基本介紹

圖書簡介:,內容提要:,圖書目錄:,文章節選:,

圖書簡介:

ISBN:9787121079900 [十位:7121079909]
重約:0.546KG
定價:¥39.80

內容提要:

《數字系統設計與Verilog HDL(第3版)》系統介紹了數字系統設計相關的知識,主要內容包括:EDA技術、FPGA/CPLD器件、Vefilog硬體描述語言等。《數字系統設計與Verilog HDL(第3版)》以Quartus II、Synplify Pro/Synplify軟體為平台,以Verilog-1995和Verilog-2001為語言標準,以可綜合的設計為重點,以大量經過驗證的數字設計實例為依據,系統闡述了數字系統設計的方法與技術,對設計最佳化做了探討。
《數字系統設計與Veril... [顯示全部]

圖書目錄:

第1章 EDA技術與數字系統設計
1.1 EDA技術及其發展
1.2 數字系統設計技術
1.2.1 Top-down設計
1.2.2 Bottom-up設計
1.2.3 IP復用技術與SoC
1.3 數字系統設計的流程
1.3.1 輸入
1..2 綜合
1.3.3 適配
1.3.4 仿真
1.3.5 編程
1.4 常用的EDA軟體工具
1.5 EDA技術的發展趨勢
習題
第2章 FPGA/CPLD器件
2.1 PLD的分類
2.1.1 按集成度分類
2.1... [顯示全部]

文章節選:

第1章 EDA技術與數字系統設計
1.2 數字系統設計技術
數字系統的設計方法發生了深刻的變化。傳統的數字系統通常是採用搭積木式的方式設計的,即由一些固定功能的器件加上一定的外圍電路構成模組,由這些模組進一步形成各種功能電路,進而構成系統。構成系統的“積木塊”是各種標準晶片,如74/54系列(TTL)、4000/4500系列(CMOS)晶片等,這些晶片的功能是固定的,用戶只能根據需要從這些標準器件中選擇,並按照推薦的電路搭成系統。在設計時,幾乎沒有靈活性可言,設計一個系統所需的晶片種類多且數... [顯示全部]

相關詞條

熱門詞條

聯絡我們