EDA原理及套用

EDA原理及套用

《EDA原理及套用》全面地介紹了基於EDA技術的數字系統設計的方法、理論和套用。本書參考了大量最新的設計資料,內容新穎,理論與套用並重,充分反映了基於EDA技術的數字系統設計的最新方法和技術,可作為相關專業開設EDA原理及套用課程的本科教學參考書,亦可作為從事EDA數字系統設計的相關教師、研究生和科技人員自學參考書,也可作為Xilinx公司的培訓教材。

基本介紹

圖書信息,內容簡介,編輯推薦,圖書目錄,

圖書信息

書 名: EDA原理及套用
作 者:何賓
出版時間: 2009-6-1
ISBN: 9787302200215
開本: 16開
定價: 27.00元

內容簡介

本書系統而又全面地介紹了基於EDA技術的數字系統設計的方法、理論和套用。全書共分13章,內容包括EDA設計導論、可程式邏輯器件設計方法、VHDL語言基礎、數字邏輯單元設計、VHDL高級設計技術、基於HDL的設計輸入、基於原理圖的設計輸入、設計綜合和行為仿真、設計實現和時序仿真、設計下載和調試、數字時鐘設計及實現、通用異步接收傳送器、數字電壓表設計及實現。本書參考了大量最新的設計資料,內容新穎,理論與套用並重,充分反映了基於EDA技術的數字系統設計的最新方法和技術,可以幫助讀者儘快掌握EDA設計方法和技術。

編輯推薦

本書特色:本書系統地介紹了基於PLD的EDA設計原理和方法,內容主要括:EDA設計概論、可程式邏輯器件設計方法、硬體描述語言VHDL、邏輯單元設計、高性能代碼設計、IP核設計技術、EDA設計流程,最後給出通用異步接收傳送器和數字濾波器的完整設計實例,以幫助學學者全面掌握EDA設計方法和套用技巧。書中結合內容給出大量設計示例程式和習題。本書可作為高校信息類專業EDA相關課程的教材,也可作為相關培訓課程教學用書,及科研工作者和套用開發人員的的參考用書。

圖書目錄

第1章 EDA設計導論
1.1 EDA技術綜述
1.1.1 EDA技術發展歷史
1.1.2 EDA技術含義
1.1.3 EDA技術主要內容
1.2 PLD設計方法學
1.2.1 PLD設計概論
1.2.2 PLD設計流程
1.2.3 SOPC設計流程
1.3 HDL硬體描述語言
1.3.1 HDL硬體描述語言概念
1.3.2 HDL語言特點和比較
1.3.3 HDL語言最新發展
習題
第2章 可程式邏輯器件設計方法
2.1 可程式邏輯器件基礎
2.1.1 可程式邏輯器件概述
2.1.2 可程式邏輯器件的發展歷史
2.2 PLD晶片製造工藝
2.3 PLD晶片結構
2.3.1 CPLD原理及結構
2.3.2 FPGA原理及結構
2.3.3 CPLD和FPGA比較
2.3.4 PLD選擇原則
2.4 Xilinx公司晶片簡介
2.4.1 Xilinx CPLD晶片介紹
2.4.2 Xilinx FPGA晶片介紹
2.4.3 Xilinx PROM晶片介紹
習題2
第3章 VHDL語言基礎
3.1 VHDL程式結構
3.1.1 VHDL程式結構概述
3.1.2 VHDL程式實體
3.1.3 VHDL結構體
3.2 VHDL語言描述風格
3.2.1 結構體行為描述
3.2.2 結構體數據流描述
3.2.3 結構體結構化描述
3.3 設計資源共享
3.3.1 庫
3.3.2 包集合
3.3.3 子程式和函式
3.3.4 元件配置
3.4 VHDL語言的文字規則
3.4.1 數字型文字
3.4.2 字元型文字
3.4.3 標識符
3.4.4 下標名及下標段名
3.5 VHDL語言數據對象、類型和屬性
3.5.1 VHDL中的數據對象
3.5.2 VHDL中的數據類型
3.5.3 VHDL中的預定義屬性
3.6 VHDL語言的操作符
3.7 VHDL的順序描述語句
3.7.1 對象賦值語句
3.7.2 轉向控制語句
3.7.3 斷言語句
3.8 VHDL的並發描述語句
3.8.1 進程描述語句
3.8.3 條件信號賦值語句
3.8.4 選擇信號賦值語句
3.8.5 並行過程調用語句
3.8.6 塊語句
3.9 VHDL元件聲明及例化語句
3.9.1 層次化設計
3.9.2 元件聲明
3.9.3 元件例化
3.9.4 生成語句
3.10 VHDL的檔案操作
習題
第4章 數字邏輯單元設計
第5章 VHDL高級設計技術
第6章 基於HDL的設計輸入
第7章 基於原理圖的設計輸入
第8章 設計綜合和行為仿真
第9章 設計實現和時序仿真
第10章 設計下載和調試
第11章 數字時鐘設計及實現
第12章 通用異步接收傳送器設計及實現
第13章 數字電壓表設計及實現
參考文獻

相關詞條

熱門詞條

聯絡我們