DDS算法

DDS算法

DDS(Direct Digital Frequency Synthesis)是一種把一系列數位訊號通過D/A轉換器轉換成模擬信號的數字合成技術。

基本介紹

  • 中文名:直接數字式頻率合成器算法
  • 外文名:Direct Digital Synthesizer algorithms
  • 簡稱:DDS算法
DDS簡介,頻率合成器,簡介,優點,晶片介紹,任意波形,DDS算法函式,發生器,動態切換,調度算法,數據分發,

DDS簡介

DDS(Direct Digital Synthesis)是一種把一系列數位訊號通過D/A轉換器轉換成模擬信號的數字合成技術。它有查表法和計算法兩種基本合成方法。由於ROM查詢法結構簡單,只需要在ROM中存放不同相位對應的幅度序列,然後通過相位累加器的輸出對其定址,經過數/模轉換和低通濾波(LPF)輸出便可以得到所需要的模擬信號。這裡,選用ROM查表法。DDS技術實質上是實現了一個數字分頻器的功能。對於一個周期的正弦波連續信號,可以沿其相位軸方向,以等量的相位間隔對其進行相位/幅度採樣,得到一個周期性的正弦信號的離散相位的幅度序列,對模擬幅度進行量化後的幅值採用二進制數據編碼,這樣就把一個周期的正弦波連續信號轉換成一系列離散的二進制數字量,然後存入存儲器RAM中,每個存儲器單元的地址即是相位取樣地址,存儲單元的內容是已經量化了的正弦波幅值。一個這樣的唯讀存儲器構成了一個與2π周期相位取樣對應的正弦波函式表。DDS的基本原理如圖2所示。它主要由標準參考頻率源、相位累加器、波形存儲器和數/模轉換器構成。
在時鐘脈衝的控制下,頻率控制字K由累加器得到相應的相碼。相碼定址波形存儲器進行相碼—幅碼變換輸出不同的幅度編碼,經過數/模變換器得到相應的階梯波,最後經過低通濾波器對階梯波進行平滑,即得到由頻率控制字K決定的連續變化的輸出波形。相位累加器是實現DDS的核心,它由一個N位字長的二進制加法器和一個由固定時鐘脈衝取樣的N位相位暫存器組成,在每個時鐘脈衝到達時,相位暫存器採用上個時鐘周期內相位暫存器的值與頻率控制字K之和,並作為相位累加器在這一時鐘周期的輸出。

頻率合成器

直接數字式頻率合成器
DDS同 DSP(數位訊號處理)一樣,是一項關鍵的數位化技術。DDS是直接數字式頻率合成器(Direct Digital Synthesizer)的英文縮寫。與傳統的頻率合成器相比,DDS具有低成本、低功耗、高解析度和快速轉換時間等優點,廣泛使用在電信與電子儀器領域,是實現設備全數位化的一個關鍵技術。

簡介

DDS晶片中主要包括頻率控制暫存器、高速相位累加器和正弦計算器三個部分(如Q2220)。頻率控制暫存器可以串列或並行的方式裝載並暫存用戶輸入的頻率控制碼;而相位累加器根據
dds
頻率控制碼在每個時鐘周期內進行相位累加,得到一個相位值;正弦計算器則對該相位值計算數位化正弦波幅度(晶片一般通過查表得到)。DDS晶片輸出的一般是數位化的正弦波,因此還需經過高速D/A轉換器和低通濾波器才能得到一個可用的模擬頻率信號。
另外,有些DDS晶片還具有調幅、調頻和調相等調製功能及片內D/A變換器(如AD7008)。

優點

頻率解析度高、輸出頻點多、可達2的N次方個頻點(N為相位累加器位數);
頻率切換速度快,可達us量級;
頻率切換時相位連續;
可以輸出寬頻正交信號;
輸出相位噪聲低,對參考頻率源的相位噪聲有改善作用;
可以產生任意波形;
全數位化實現、便於集成、體積小、重量輕。
在各行各業的測試套用中,信號源扮演著極為重要的作用。但信號源具有許多不同的類型,不同類型的信號源在功能和特性上各不相同,分別適用於許多不同的套用。波形發生器,函式發生器,RF信號源,以及基本的模擬輸出模組。信號源中採用DDS技術在當前的測試測量行業已經逐漸稱為一種主流的做法。

晶片介紹

DDS主要晶片介紹
DDS算法晶片介紹DDS算法晶片介紹
主要晶片介紹

任意波形

任意波形發生器(AWG)通常提供較深的存儲器,較大的動態範圍以及較寬的頻寬,來滿足各式各樣的套用,包括通信、半導體和系統測試。AWG接收來自PC的用戶自定義數據,並利用這些數據來生成任意波形。AWG用戶可以將想要產生的一系列波形下載到儀器所帶的存儲器中。通常,可以存儲實際的波形和形成這些波形所需的波形序列指令。
請看一下AWG的基本架構。要從AWG上產生一種波形,必須先創建任意波形本身。像模擬波形編輯器,調製工具,以及國家儀器公司(NI)的LabVIEW這類的軟體工具都能夠簡化這些波形的創建。這些波形和其波形序列指令都存在儀器所帶的RAM中。
波形生成序列通常從 TTL硬體觸發器開始。各種波形由許多單個的樣本構成,而生成採樣率由儀器的採樣時鐘確定。從內部採樣時鐘時基(100 MHz VCXO)中導出採樣時鐘有幾種不同模式,包括DDS定時Div/N時鐘,以及幾種提供不同外部時鐘的模式。另外,對於用於儀器的鎖相環的頻率基準,也有幾種不同的選擇。
波形通過存儲器到數模轉換器( DAC),數模轉換器將數字採樣樣本轉換成所需的模擬輸出波形。在DAC之前,樣本被數字濾波,而經過DAC之後,模擬輸出又通過一個模擬濾波器。這些數字和模擬濾波器通過插值來增加採樣率,並通過諧波低通濾波器濾除寄生信號,從而極大地改進了信號的質量。通常,這些濾波器都能夠軟體編程。
AWG允許用戶規定波形片斷,並通過重複來構建複雜波形。由於AWG將波形存儲在自身存儲器中,故波形長度受限。波形循環幫助產生具有多次重複的子段的信號。對波形段進行循環改善了存儲效率,並增加了波形的持續時間。
AWG還可以規定波形中不同的級,每級可以包括不同的波形段和不同的循環次數。AWG依次產生每一個定義的波形段。通過組合先後順序和循環次數,就能夠利用很小的存儲器容量來構建非常複雜的波形。AWG可以為每段指定不同的波形片段,不過不同段之間的過渡點上的相位不一定是連續的。
最後,許多AWG都具有一個仿函式發生器功能。此時,當要求輸出一個標準函式波形時,可以先用軟體來產生,並下載到AWG上,然後再由AWG輸出。這就不同於下面將要介紹的全DDS技術。

DDS算法函式

函式發生器產生固定波形,如正弦波、方波(矩形波)階梯波或三角波(鋸齒波),頻率可調節。函式發生器無需來自計算機或大容量存儲緩衝器的連續輸入,因為設備本身能夠產生這些波形。
函式發生器可以基於模擬技術,也可以基於數位技術。模擬函式發生器利用模擬硬體來產生簡單的函式,並在需要指定頻率的靜態正弦波或方波時經常使用。而數字函式發生器採用直接數字綜合( DDS),DAC,數位訊號處理,以及一個單周期存儲緩衝器來產生信號。 DDS技術依賴數字控制的方法,利用單基準時鐘頻率來實現一個模擬頻率源。DDS能夠實現高精度和高解析度,高溫度穩定度,高寬頻,以及隨機的和相位連續的頻率切換。
許多信號源通過對一個內部時基進行整數分頻來產生時鐘信號,這被稱為除N方法。但是,用除N方法來產生時鐘,只能產生有限的時鐘頻率。AWG,甚至幾個時鐘頻率產生器,可以採用DDS技術來產生具有非常精細的更新頻率時鐘信號,而這是除N方法無法實現的。
DDS算法函式DDS算法函式

發生器

一個完整周期的函式波形被存儲在上面所示的存儲器查找表中。相位累加器跟蹤輸出函式的電流相位。為了輸出一個非常低的頻率,採樣樣本之間的差相位(Δ)將非常小。例如,一個很慢的正弦波可能將有1度的Δ相位。則波形的0號採樣樣本採得0度時刻的正弦波的幅度,而波形的1號採樣將採得1度時刻的正弦波的幅度,依次類推。經過360次採樣後,將輸出正弦曲線的全部360度,或者確切地說是一個周期。一個較快的正弦波可能會有10度的Δ相位。於是,36次採樣就會輸出正弦波的一個周期。如果採樣率保持恆定,上述較慢的正弦波的頻率將比較快的正弦波慢10倍。
進一步說,一個恆定的Δ相位必將導致一個恆定正弦波頻率的輸出。但是,DDS技術允許通過一個頻率表迅速地改變信號的Δ相位。函式發生器能夠指定一個頻率表,該表包括由波形頻率和持續時間信息組成的各個段。函式發生器按順序產生每個定義的頻率段。通過生成一個頻率表,可以構建複雜的頻率掃描信號和頻率跳變信號。DDS允許函式發生器的相位從一級到另一級連續變化。
矢量信號發生器提供高靈活度和強大的解決方案,可用於科學研究,通信,消費電子,宇航/國防,半導體測試以及一些新興領域,如軟體無線電,無線電頻率識別( RFID),以及無線感測網路等。
有些公司還提供許多其他利用DAC來產生模擬信號的模擬輸出產品。模擬輸出板的基本架構是,將一個小型的FIFO存儲器連線到一個DAC上。絕大部分的模擬輸出板被用來產生靜態電壓,而且許多可以被用來產生低頻波形。

動態切換

動態顯示切換(DDS:Dynamic Display Switch):即當手機(Phone)模式和平板(Pad)模式進行切換時,運行的套用不會中斷。
PadFone作為業界首款變形手機,通過Dynamic Display動態顯示切換來實現手機界面和平板界面的瞬間切換。眾所周知,由於手機與平板電腦的螢幕尺寸、布局不同,很多程式都很難同時適用於手機和平板裝備。想要獲得良好的體驗就不得不同時安裝某個應用程式的手機版和平板版,這無疑會影響用戶的使用體驗。而動態顯示切換技術開發之後,APP應用程式就可以很順利的在手機模式和平板模式進行切換,不再需要重新打開就能夠使用,讓用戶能夠獲得完美的“變形”套用體驗。這還需要APP應用程式共同努力,以給用戶提供最完美的享受

調度算法

截止時間驅動調度算法DDS(deadline-driven scheduler ,DDS)
由Liu和Layland提出的最早截止期時間優先算法EDF算法是一種動態優先權調度算法,也稱為截止時間驅動調度算法DDS。

數據分發

數據分發服務(DDS,Data Distribution Service),定義了一個高效的服務,用於在分散式應用程式的參與者之間分發數據。這項服務是不特定CORBA的。規範提供了一個平台獨立模型(PIM)以及特定於平台的模型(PSM),映射到一個CORBA的IDL的實現是PIM。該服務分為兩個級別的接口:以數據為中心的發布 - 訂閱(DCPS)層和一個本地套用可選數據重建層(DLRL)。DCPS層傳輸數據,從使用服務質量約束從發布者發布到用戶, 系統包括 數據主題、發布者、用戶。 DLRL允許分散式共享數據被本地對象位於遠程相互如果數據是本地的。 DLRL是建立在DCPS層的之上。 其中一個較好的開源實現是 OpenDDS.

相關詞條

熱門詞條

聯絡我們