現代電子技術:VHDL與數字系統設計

現代電子技術:VHDL與數字系統設計

《現代電子技術VHDL與數字系統設計》是2004年電子工業出版社出版的圖書,作者是楊剛龍海燕。

基本介紹

  • 書名:現代電子技術:VHDL與數字系統設計
  • 作者:楊剛 龍海燕
  • ISBN:7505398121
  • 頁數:323
  • 出版社:電子工業出版社
  • 出版時間:2004 年4月
  • 裝幀:平裝
  • 開本:16開
內容簡介,章節目錄,

內容簡介

本書作為現代電子技術課程教學的重要組成部分,將VHDL語言與數字系統設計有機地結合起來。書中第1章為VHDL語言基礎,介紹VHDL的語句和語法;第2,3章為組合電路、時序電路的VHDL基礎實驗,使讀者輕鬆入門並鞏固數字電路基礎知識;第4章為狀態機設計;第5章為存儲器設計;第6章為DSP設計;第7章為數字系統設計實例。全書共有108個設計範例,讀者可結合EDA工具和FPGA/CPLD開發系統進行實踐,參照設計範例先做改動設計,逐漸熟悉VHDL開發後,就可獨立設計出自己的源程式。
本書可作為高等院校電類和絕大部分非電類本科生、專科生教材,亦可作為電視大學、職業學校以及遠程教育、網路教育的教材,本書還可用做從事數字系統設計的工程技術人員的參考書。
現代電子技術VHDL與數字系統設計現代電子技術VHDL與數字系統設計

章節目錄

第1章 vhdl語言基礎
1.1 概述
1.2 vhdl程式結構
1.2.1 vhdl程式的基本結構
1.2.2 實體
1.2. 3 結構體
1.2.4 配置
1.3 vhdl的設計資源
1.3.1 庫
1.3.2 程式包
1.4 數據對象、類型及操作符
1.4.1 vhdl文字
1.4.2 vhdl數據對象
1.4.3 vhdl數據類型
1.4.4 vhdl操作符
1.4.5 屬性描述與定義語句
1.4.6 vhdl描述方式
1. 5 vhdl順序語句
1.5. 1 賦值語句
1.5.2 轉向控制語句
.1.5. 3 等待語句
1.5.4 子程式調用語句
1.5.5 返回語句
1. 5.6 空操作語句
1.5.7 其他語句
1.6 vhdl並行語句
1.6.1 進程語句
1.6.2 塊語句
1.6.3 並行信號賦值語句
1.6.4 並行過程調用語句
1.6.5 元件例化語句
1.6.6 生成語句
1.7 子程式
1.7.1 函式
1.7.2 重載函式
1.7.3 過程
1.7.4 重載過程
第2章 組合邏輯電路基礎實驗
2.1 基本門電路
2.1.1 基本門電路描述實驗
2.1.2 vhdl實驗快速入門
2.2 三態門
2.3 匯流排緩衝器
2.3.1 單向匯流排緩衝器
2.3.2 雙向匯流排緩衝器
2.3.3 74hc245雙向匯流排緩衝器
2.4 數據選擇器
2.4.1 四選一數據選擇器1
2.4.2 四選一數據選擇器2
2.4.3 八選一數據選擇器
2.4.4 八選一數據選擇器的宏調用
2.5 數據分配器
2.6 編碼器
2.6.1 八-三優先編碼器1
2.6.2 八-三優先編碼器2
2.6.3 二-十進制優先編碼器
2.7 解碼器
2.7.1 二-四解碼器
2.7.2 三-八解碼器
2.7.3 兩位bcd-七段靜態led解碼器
2.7.4 十進制-二進制解碼器
2.8 比較器
2.9 加法器
2.9.1 半加器
2.9.2 四位全加器
2.9.3 八位全加器
2.10 減法器
2.10.1 半減器
2.10.2 四位全減器
2.11 奇偶校驗電路和數據檢測器
2.11.1 奇偶校驗位發生器
2.11.2 奇偶校驗位檢測器
2.12 移位器
2.13 補碼生成電路
2.14 鎖存器
2.15 算術邏輯單元alu設計
2.15.1 兩位算術邏輯單元alu設計
2.15. 2 帶進位四位算術邏輯單元alu設計
第3章 時序邏輯電路基礎實驗
3.1 rs觸發器
3.2 t觸發器
3.3 jk觸發器
3.4 d觸發器
3.4.1 d觸發器基本設計
3.4.2 d觸發器宏調用
3.4.3 三級d觸發器延遲電路
3.5 暫存器
3.5.1 n位暫存器
3.5.2 24位暫存器
3.5.3 24位暫存器的lpm設計
3.5.4 多種功能的暫存器設計比較
3.6 移位暫存器
3.6.1 雙向移位暫存器
3.6.2 串人串出(siso)移位暫存器
3.6,3 串人並出(sipo)移位暫存器
3.6.4 並人串出(piso)移位暫存器
3.7 異步計數器
3.8 同步計數器
3.8.1 同步二十四進制計數器
3.8.2 同步四十進制計數器
3.9 可逆計數器
3.10 移存型計數器
3.10.1 自啟動格雷碼鈕環計數器
3.10.2 移存型七進制計數器
3.11 模可變計數器
3.11.1 離散模可變計數器
3.11.2 模256以內任意可變計數器
3.12 分頻器
3.12.1 加法分頻器
3.12.2 減法分頻器
3.12.3 半整數分頻器
3.12.4 積分分頻器
3. 13 脈衝發生和整形
3.13.1 順序脈衝發生器1
3.13.2 順序脈衝發生器2
3.13.3 由d觸發器構成的脈衝整形電路
3.13.4 脈衝整形計數器
第4章 狀態機設計
4.i moore狀態機
4.1.1 簡單的moore狀態機
4.1.2 moore狀態機設計比較
4.1.3 採用moore狀態機設計計數器
4.2 mealy狀態機
4.2.1 採用mealy狀態機設計計數器
4.2.2 mealy狀態機1
4.2.3 mealy狀態機2
4.3 特定序列脈衝發生器
4.4 循環彩燈控制器
4. 5 交通燈控制器
4.5.1 交通燈控制器1
4.5.2 交通燈控制器2
第5章 存儲器設計
5.1 ram
5.1.1 ram的lpm設計
5.1.2 ram的快速定製
5.2 雙口ram
5.2.1 簡單的雙口 ram設計
5.2.2 單地址雙口 ram的lpm設計
5.2.3 雙地址雙口 ram的lpm設計
5.2.4 雙n ram的宏調用設計
5.3 fifo
5.3.1 fifo的lpm設計1
5. 3.2 fifo的lpm設計2
5.4 rom
5.4.1 簡單的rom設計
5.4.2 用rom實現九九乘法表
5.5 堆疊
第6章 dsp設計實例
6.1 串列數據檢測器
6.2 偽隨機碼發生器
6.3 漢明編碼器和解碼器
6.3.1 漢明編碼器
6.3.2 漢明解碼器
6.4 循環冗餘校驗(crc)
6.5 15位流水線加法器
6.6 乘法器
6.6.1 普通乘法器
6.6.2 移位相加8位乘法器
6.6.3 乘法器的lpm設計
6.7 除法器
6.8 fir濾波器
6.8.1 可程式fir濾波器
6.8.2 四抽頭直接形式fir濾波器
6.9 iir濾波器
6.9.1 iir濾波器1
6.9.2 iir濾波器2
6.9.3 iir濾波器3
第7章 數字系統設計實例
7.1 bcd-七段動態led解碼顯示電路
7.2 汽車尾燈控制電路
7.3 頻率計
7.4 數字鐘
7.5 密碼鎖
7.6 8位脈寬調製器
7.7 硬體電子琴
7.8 函式發生器
7.9 ad0809模擬信號採集
7.10 vga彩條信號發生器
7.11 通用異步收發器(uart)
7.12 數字系統設計課題
7.12.1 桌球遊戲機
7.12.2 四人搶答器
7.12.3 數字秒表
7.12.4 計程車計費器
7.12.5 汽車停車場停車車位顯示系統
7.12.6 五層電梯控制器
7.12.7 自動售貨機的控制電路
附錄 掌宇cic310 cpld/fpga開發系統使用簡介
參考文獻

相關詞條

熱門詞條

聯絡我們