兼容ARM9的軟核處理器設計:基於FPGA

兼容ARM9的軟核處理器設計:基於FPGA

《兼容ARM9的軟核處理器設計:基於FPGA》是2012年4月機械工業出版社出版的圖書,作者是李新兵。本書詳細介紹了如何使用Verilog進行FPGA開發。

基本介紹

  • 書名:兼容ARM9的軟核處理器設計:基於FPGA
  • 出版社:機械工業出版社
  • 出版時間:2012年4月12日
  • 開本:16
基本信息,商品描述,內容簡介,編輯推薦,目錄,

基本信息

平裝: 388頁
正文語種: 簡體中文
ISBN: 9787111375722
商品尺寸: 25.2 x 20.2 x 4.6 cm
商品重量: 739 g
品牌: 機械工業出版社
ASIN: B007TQ72WC

商品描述

內容簡介

通過閱讀本書,讀者可以自行設計一個32位的RISC架構處理器—兼容市面上流行的ARM9微處理器。本書不僅詳細介紹了Verilog HDL的語法,而且獨具匠心地介紹了如何使用這種精簡的語言進行成熟作品的設計。這也是本書相對於其他類似圖書的首次嘗試。本書以Verilog RTL設計為核心,從第1章建立Verilog RTL設計模型開始,到最後一章能夠對Linux作業系統進行仿真。讀者通過本書可以切實掌握基於ARM9的數字電路設計流程,並能夠利用成熟的MCU軟體設計工具生成BIN檔案,通過BIN檔案和一個只有1800行的兼容ARM9處理器核心,快速完成FPGA設計。
《兼容ARM9的軟核處理器設計(基於FPGA)》理論結合實際,圖文並茂,由淺入深地介紹了如何使用Verilog語言進行FPGA設計,可作為機電控制、信息家電、工業控制、手持儀器、醫療器械、機器人技術等方面FPGA與嵌入式系統開發與套用參考書,適合對FPGA與嵌入式系統開發感興趣的讀者以及從事ARM嵌入式系統套用開發工程師閱讀。

編輯推薦

本書從介紹Verilog RTL的開發基礎開始,從零開始進行設計建模,使得初學者能夠依照本書設計一個高性能的處理器核心。同時讀者還能在學習處理器核心開發的過程中,切實掌握在FPGA上進行SoC設計的技能。
在ARM9處理器的運用領域,離不開作業系統的支持,特別是Unux作業系統。本書通過兩個實例深入探討了Linux作業系統在兼容ARM9處理器核心上的運行情況。第一個實例是不帶MMU的uClinux作業系統,它在各種單片機中廣泛運用。本書將帶領讀者在Modelsim仿真器上運用兼容ARM9處理器的核心來啟動uClinux作業系統。第二個實例是帶MMU的Linux作業系統,它套用複雜。是真正意義上的嵌入式開發的靈魂,同時介紹了其在Modelsim仿真器中如何啟動。通過學習這兩個RTL仿真流程,讀者不僅可以清楚掌握兼容ARM9處理器核心,而且還可以清晰了解嵌入式領域中的作業系統與處理器核心的關係。

目錄

前言
第1章 數字電路設計模型 1
1.1 最初的模型——帶有輸入輸出的模組 1
1.2 組合邏輯 2
1.3 時序邏輯 3
1.4 同步電路 5
1.5 同步電路時序路徑 6
1.6 RTL描述 8
1.7 綜合生成電路 9
結束語 10
第2章 Verilog RTL編程 11
2.1 Verilog語言與RTL描述 11
2.2 Verilog描述語句對應電路 13
2.3 如何進行RTL設計 16
2.4 RTL設計要點 17
2.5 UART串口通信設計實例 18
結束語 25
第3章 Modelsim仿真 26
3.1 仿真的意義 26
3.2 testbench檔案 27
3.3 Modelsim仿真工具 28
3.4 UART串口仿真實例 32
結束語 34
第4章 FPGA開發板原型驗證 35
4.1 FPGA內部結構 35
4.2 FPGA開發板 41
4.3 FPGA設計開發流程 43
4.4 FPGA設計內部單元 46
4.5 UART設計在Altera FPGA的下載執行 53
4.6 UART設計在Xilinx FPGA的下載執行 61
結束語 77
第5章 ARM9微處理器編程模型 78
5.1 ARM公司歷史 78
5.2 ARM處理器架構 79
5.3 微處理器基本模型 81
5.4 ARMv4架構模式 84
5.5 ARMv4架構內部暫存器 86
5.6 ARMv4架構的異常中斷 89
5.7 ARMv4架構支持的ARM指令集 95
5.8 ARM指令與中斷分析 117
結束語 119
第6章 兼容ARM9微處理器Verilog RTL設計 120
6.1 確定RTL設計的輸入輸出連線埠 121
6.2 經典的三級流水線架構 125
6.3 經典的五級流水線架構 128
6.4 三級流水線改進架構 130
6.5 適於兼容ARM9微處理器的三級架構 134
6.6 影響流水線架構執行的四種狀況 139
6.7 第一級:取指階段的Verilog RTL實現 151
6.8 第二級:乘法運算階段的Verilog RTL實現 152
6.9 第三級:加法運算階段的Verilog RTL實現 159
6.10 暫存器組的寫入 168
6.11 CPSR/SPSR的寫入 176
6.12 數據池的讀寫 185
6.13 第四級:讀運算元據的回寫 187
結束語 191
第7章 Hello World——兼容ARM9處理器核心運行的第一個程式 192
7.1 基於FPGA的SoC設計流程 193
7.2 使用RealView MDK編譯Hello World程式 197
7.3 Modelsim仿真輸出Hello World 206
7.4 建立Hello World的FPGA設計工程 213
結束語 226
第8章 Dhrystone Benchmark——兼容ARM9處理器核心性能測試 227
8.1 Dhrystone 2.1介紹 228
8.2 移植Dhrystone 2.1進行編譯 232
8.3 使用Modelsim仿真運行Dhrystone Benchmark 238
8.4 線上可程式的FPGA SoC設計工程 245
8.5 Dhrystone Benchmark在開發板中運行 254
結束語 258
第9章 uClinux仿真——結合SkyEye,啟動不帶MMU的作業系統 259
9.1 ARM7TDMI-S處理器核心 260
9.2 以ARM7TDMI為核心的單片機 266
9.3 uClinux嵌入式作業系統 269
9.4 SkyEye硬體模擬平台 270
9.5 Modelsim下仿真uClinux啟動過程 274
結束語 290
第10章 Linux作業系統仿真——結合mini2440開發板,啟動帶MMU的作業系統 291
10.1 ARM920T處理器核心 291
10.2 S3C2440A 32位微控制器 296
10.3 mini2440 ARM9開發板 298
10.4 NAND Flash仿真模型 301
10.5 為兼容ARM9處理器核心增加協處理器指令 303
10.6 建立仿真Linux作業系統的testbench 305
結束語 318
附錄A 啟動Linux作業系統的全部列印log信息 320
附錄B 兼容ARM9處理器核心帶注釋的Verilog RTL代碼 329
附錄C 相關網址 389

相關詞條

熱門詞條

聯絡我們