PCB設計

PCB設計

印製電路板的設計是以電路原理圖為根據,實現電路設計者所需要的功能。印刷電路板的設計主要指版圖設計,需要考慮外部連線的布局。內部電子元件的最佳化布局。金屬連線和通孔的最佳化布局。電磁保護。熱耗散等各種因素。優秀的版圖設計可以節約生產成本,達到良好的電路性能和散熱性能。簡單的版圖設計可以用手工實現,複雜的版圖設計需要藉助計算機輔助設計(CAD)實現

基本介紹

  • 中文名:印刷電路板設計
  • 外文名:Printed Circuit Board Design
  • 依據電路原理圖
  • 主要設計:版圖設計
設計簡介,設計軟體,相關技巧,設定技巧,布局技巧,設計步驟,布局設計,放置順序,布局檢查,具體方法,目的作用,適用範圍,責任態度,資歷培訓,工作指導,基本概念,少用過孔,絲印層,SMD封裝,填充區,焊盤,各類膜,飛線,PCB打樣,轉換器,系統概述,影像的產生,影像模式,設計步驟,主要流程,系統規格,功能區塊,高速流程,注意事項,特殊元件,規則裝配,

設計簡介

在高速設計中,可控阻抗板和線路的特性阻抗是最重要和最普遍的問題之一。首先了解一下傳輸線的定義:傳輸線由兩個具有一定長度的導體組成,一個導體用來傳送信號,另一個用來接收信號(切記“迴路”取代“地”的概念)。在一個多層板中,每一條線路都是傳輸線的組成部分,鄰近的參考平面可作為第二條線路或迴路。一條線路成為“性能良好”傳輸線的關鍵是使它的特性阻抗在整個線路中保持恆定。
線路板成為“可控阻抗板”的關鍵是使所有線路的特性阻抗滿足一個規定值,通常在25歐姆和70歐姆之間。在多層線路板中,傳輸線性能良好的關鍵是使它的特性阻抗在整條線路中保持恆定。
但是,究竟什麼是特性阻抗?理解特性阻抗最簡單的方法是看信號在傳輸中碰到了什麼。當沿著一條具有同樣橫截面傳輸線移動時,這類似圖1所示的微波傳輸。假定把1伏特的電壓階梯波加到這條傳輸線中,如把1伏特的電池連線到傳輸線的前端(它位於傳送線路和迴路之間),一旦連線,這個電壓波信號沿著該線以光速傳播,它的速度通常約為6英寸/納秒。當然,這個信號確實是傳送線路和迴路之間的電壓差,它可以從傳送線路的任何一點和迴路的相臨點來衡量。圖2是該電壓信號的傳輸示意圖。
Zen的方法是先“產生信號”,然後沿著這條傳輸線以6英寸/納秒的速度傳播。第一個0.01納秒前進了0.06英寸,這時傳送線路有多餘的正電荷,而迴路有多餘的負電荷,正是這兩種電荷差維持著這兩個導體之間的1伏電壓差,而這兩個導體又組成了一個電容器。
在下一個0.01納秒中,又要將一段0.06英寸傳輸線的電壓從0調整到1伏特,這必須加一些正電荷到傳送線路,而加一些負電荷到接收線路。每移動0.06英寸,必須把更多的正電荷加到傳送線路,而把更多的負電荷加到迴路。每隔0.01納秒,必須對傳輸線路的另外一段進行充電,然後信號開始沿著這一段傳播。電荷來自傳輸線前端的電池,當沿著這條線移動時,就給傳輸線的連續部分充電,因而在傳送線路和迴路之間形成了1伏特的電壓差。每前進0.01納秒,就從電池中獲得一些電荷(±Q),恆定的時間間隔(±t)內從電池中流出的恆定電量(±Q)就是一種恆定電流。流入迴路的負電流實際上與流出的正電流相等,而且正好在信號波的前端,交流電流通過上、下線路組成的電容,結束整個循環過程。
PCB(Printed Circuit Board)印刷電路板的縮寫

設計軟體

一、國內用的比較多的是protel,protel 99se,protel DXP,Altium,這些都是一個公司發展,不斷升級的軟體;當前版本是Altium Designer 15 比較簡單,設計比較隨意,但是做複雜的PCB這些軟體就不是很好。
二、Cadence spb軟體Cadence spb這是Cadence的軟體,當前版本是Cadence SPB 16.5;其中的ORCAD原理圖設計是國際標準;其中PCB設計、仿真很全,用起來比protel複雜,主要是要求、設定複雜;但是為設計做好了規定,所以設計起來事半功倍,比protel就明顯強大。
三、Mentor公司的BORDSTATIONG和EE,其中BOARDSTATION由於只適用於UNIX系統,不是為PC機設計,所以使用的人較少;當前MentorEE版本為Mentor EE 7.9和Cadence spb屬於同級別的PCB設計軟體,它有些地方比cadence spb差,它的強項是拉線、飛線,人稱飛線王。
四、EAGLE Layout這是歐洲使用最廣泛的PCB設計軟體。 上述所說PCB設計軟體,用的比較多的,Cadence spb和MentorEE 是裡面當之無愧的王者。 如果是初學設計PCB我覺得Cadencespb 比較好,它可以給設計者養成一個良好的設計習慣,而且能保證良好的設計質量。

相關技巧

設定技巧

設計在不同階段需要進行不同的各點設定,在布局階段可以採用大格點進行器件布局;
對於IC、非定位接外掛程式等大器件,可以選用50~100mil的格點精度進行布局,而對於電阻電容和電感等無源小器件,可採用25mil的格點進行布局。大格點的精度有利於器件的對齊和布局的美觀。
PCB布局規則:
1、在通常情況下,所有的元件均應布置在電路板的同一面上,只有頂層元件過密時,才能將一些高度有限並且發熱量小的器件,如貼片電阻、貼片電容、貼片IC等放在底層。
2、在保證電氣性能的前提下,元件應放置在柵格上且相互平行或垂直排列,以求整齊、美觀,在一般情況下不允許元件重疊;元件排列要緊湊,元件在整個版面上應分布均勻、疏密一致。
3、電路板上不同組件相臨焊盤圖形之間的最小間距應在1MM以上。
4、離電路板邊緣一般不小於2MM.電路板的最佳形狀為矩形,長寬比為3:2或4:3.電路板面尺大於200MM乘150MM時,應考慮電路板所能承受的機械強度。

布局技巧

在PCB的布局設計中要分析電路板的單元,依據起功能進行布局設計,對電路的全部元器件進行布局時,要符合以下原則:
1、按照電路的流程安排各個功能電路單元的位置,使布局便於信號流通,並使信號儘可能保持一致的方向。
2、以每個功能單元的核心元器件為中心,圍繞他來進行布局。元器件應均勻、整體、緊湊的排列在PCB上,儘量減少和縮短各元器件之間的引線和連線。
3、在高頻下工作的電路,要考慮元器件之間的分布參數。一般電路應儘可能使元器件並行排列,這樣不但美觀,而且裝焊容易,易於批量生產。

設計步驟

布局設計

在PCB中,特殊的元器件是指高頻部分的關鍵元器件、電路中的核心元器件、易受干擾的元器件、帶高壓的元器件、發熱量大的元器件,以及一些異性元器 件,這些特殊元器件的位置需要仔細分析,做帶布局合乎電路功能的要求及生產的需求。不恰當的放置他們可能產生電路兼容問題、信號完整性問題,從而導致 PCB設計的失敗。
在設計中如何放置特殊元器件時首先考慮PCB尺寸大小。快易購指出pcb尺寸過大時,印刷線條長,阻抗增加,抗燥能力下降,成本也增加;過小時,散熱不好,且臨近線條容易受干擾。在確定PCB的尺寸後,在確定特殊元件的擺方位置。最後,根據功能單元,對電路的全部元器件進行布局。特殊元器件的位置在布局時一般 要遵守以下原則:
1、儘可能縮短高頻元器件之間的連線,設法減少他們的分布參數及和相互間的電磁干擾。易受干擾的元器件不能相互離的太近,輸入和輸出應儘量遠離。
2一些元器件或導線有可能有較高的電位差,應加大他們的距離,以免放電引起意外短路。高電壓的元器件應儘量放在手觸及不到的地方。
3、重量超過15G的元器件,可用支架加以固定,然後焊接。那些又重又熱的元器件,不應放到電路板上,應放到主機箱的底版上,且考慮散熱問題。熱敏元器件應遠離發熱元器件。
4、對與電位器、可調電感線圈、可變電容器、微動開關等可調元器件的布局應考慮整塊板子的結構要求,一些經常用到的開關,在結構允許的情況下,應放置到手容易接觸到的地方。元器件的布局到均衡,疏密有度,不能頭重腳輕。
一個產品的成功,一是要注重內在質量。而是要兼顧整體的美觀,兩者都比較完美的板子,才能成為成功的產品。

放置順序

1、放置與結構有緊密配合的元器件,如電源插座、指示燈、開關、連線器等。
2、放置特殊元器件,如大的元器件、重的元器件、發熱元器件、變壓器、IC等。
3、放置小的元器件。

布局檢查

1、電路板尺寸和圖紙要求加工尺寸是否相符合。
2、元器件的布局是否均衡、排列整齊、是否已經全部布完。
3、各個層面有無衝突。如元器件、外框、需要私印的層面是否合理。
3、常用到的元器件是否方便使用。如開關、外掛程式板插入設備、須經常更換的元器件等。
4、熱敏元器件與發熱元器件距離是否合理。
5、散熱性是否良好。
6、線路的干擾問題是否需要考慮。

具體方法

目的作用

1.1 規範設計作業,提高生產效率和改善產品的質量。

適用範圍

1.1 XXX 公司開發部的VCD超級VCDDVD音響等產品。

責任態度

3.1 XXX 開發部的所有電子工程師、技術員及電腦繪圖員等。

資歷培訓

4.1 有電子技術基礎;
4.2 有電腦基本操作常識;
4.3 熟悉利用電腦PCB 繪圖軟體.

工作指導

5.1 銅箔最小線寬:0.1MM,面板0.2MM 邊緣銅箔最小要1.0MM
5.2 銅箔最小間隙:0.1MM,面板:0.2MM.
5.3 銅箔與板邊最小距離為0.55MM,元件與板邊最小距離為5.0MM,盤與板邊最小距離為4.0MM
5.4 一般通孔安裝元件的焊盤的大小(徑)孔徑的兩倍,雙面板最小1.5MM,單面板最小為2.0MM,議(2.5MM)如果不能用圓形焊盤,用腰圓形焊盤,小如下圖所示(如有標準元件庫,
則以標準元件庫為準)
焊盤長邊、短邊與孔的關係為 :
5.5 電解電容不可觸及發熱元件,大功率電阻,敏電阻,壓器,熱器等.解電容與散熱器的間隔最小為10.0MM,它元件到散熱器的間隔最小為2.0MM.
5.6 大型元器件(如:變壓器、直徑15.0MM 以上的電解電容、大電流的插座等)加大銅箔及上錫面積如下圖;陰影部分面積肥最小要與焊盤面積相等。
5.7 螺絲孔半徑5.0MM 內不能有銅箔(要求接地外)元件.(按結構圖要求).
5.8 上錫位不能有絲印油.
5.9 焊盤中心距小於2.5MM 的,相鄰的焊盤周邊要有絲印油包裹,印油寬度為0.2MM(議0.5MM).
5.10 跳線不要放在IC 下面或馬達、電位器以及其它大體積金屬外殼的元件下.
5.11 在大面積PCB設計中(約超過500CM2 以上),防止過錫爐時PCB 板彎曲,在PCB 板中間留一條5 至10MM 寬的空隙不放元器件(走線),用來在過錫爐時加上防止PCB 板彎曲的壓條,下圖的陰影區::
5.12 每一粒三極體必須在絲印上標出e,c,b 腳.
5.13 需要過錫爐後才焊的元件,盤要開走錫位,向與過錫方向相反,度視孔的大小為0.5MM 到1.0MM如下圖 :
5.14 設計雙面板時要注意,金屬外殼的元件,外掛程式時外殼與印製板接觸的,頂層的焊盤不可開,一定要用綠油或絲印油蓋住(例如兩腳的晶振)。
5.15 為減少焊點短路,所有的雙面印製板,過孔都不開綠油窗。
5.16 每一塊PCB 上都必須用實心箭頭標出過錫爐的方向:
5.17 孔洞間距離最小為1.25MM(雙面板無效)
5.18 布局時,DIP 封裝的IC 擺放的方向必須與過錫爐的方向成垂直,不可平行,如下圖;如果布局上有困難,可允許水平放置IC (OP 封裝的IC 擺放方向與DIP 相反)。
5.19 布線方向為水平或垂直,由垂直轉入水平要走45 度進入。
5.20 元件的安放為水平或垂直。
5.21 絲印字元為水平或右轉90 度擺放。
5.22 若銅箔入圓焊盤的寬度較圓焊盤的直徑小時,則需加淚滴。如圖 :
5.23 物料編碼和設計編號要放在板的空位上。
5.24 把沒有接線的地方合理地作接地或電源用。
5.25 布線儘可能短,特別注意時鐘線、低電平信號線及所有高頻迴路布線要更短。
5.26 模擬電路及數字電路的地線及供電系統要完全分開。
5.27 如果印製板上有大面積地線和電源線區(面積超過500 平方毫米),應局部開視窗。如圖 :
5.28 電插印製板的定位孔規定如下,陰影部分不可放元件,手插元件除外,L 的範圍是50 330mm,H的範圍是50 250mm,果小於50X50 則要拼板開模方可電插,如果超過330X250 則改為手插板。定位孔需在長邊上。

基本概念

少用過孔

一旦選用了過孔,務必處理好它與周邊各實體的間隙,特別是容易被忽視的中間各層與過孔不相連的線與過孔的間隙,如果是自動布線,可在“過孔數量最小化” ( Via Minimiz8tion)子選單里選擇“on”項來自動解決。(2)需要的載流量越大,所需的過孔尺寸越大,如電源層和地層與其它層聯接所用的過孔就要大一些。

絲印層

Overlay
為方便電路的安裝和維修等,在印刷板的上下兩表面印刷上所需要的標誌圖案和文字代號等,例如元件標號和標稱值、元件外廓形狀和廠家標誌、生產日期等等。不少初學者設計絲印層的有關內容時,只注意文字元號放置得整齊美觀,忽略了實際制出的PCB效果。他們設計的印板上,字元不是被元件擋住就是侵入了助焊區域被抹賒,還有的把元件標號打在相鄰元件上,如此種種的設計都將會給裝配和維修帶來很大不便。正確的絲印層字元布置原則是:”不出歧義,見縫插針,美觀大方”。

SMD封裝

特殊性
Protel封裝庫內有大量SMD封裝,即表面焊裝器件。這類器件除體積小巧之外的最大特點是單面分布元引腳孔。因此,選用這類器件要定義好器件所在面,以免“丟失引腳(Missing Plns)”。另外,這類元件的有關文字標註只能隨元件所在面放置。

填充區

格線狀填充區(External Plane )和填充區(Fill)
正如兩者的名字那樣,網路狀填充區是把大面積的銅箔處理成網狀的,填充區僅是完整保留銅箔。初學者設計過程中在計算機上往往看不到二者的區別,實質上,只要你把圖面放大後就一目了然了。正是由於平常不容易看出二者的區別,所以使用時更不注意對二者的區分,要強調的是,前者在電路特性上有較強的抑制高頻干擾的作用,適用於需做大面積填充的地方,特別是把某些區域當做禁止區、分割區或大電流的電源線時尤為合適。後者多用於一般的線端部或轉折區等需要小面積填充的地方。

焊盤

Pad
焊盤是PCB設計中最常接觸也是最重要的概念,但初學者卻容易忽視它的選擇和修正,在設計中千篇一律地使用圓形焊盤。選擇元件的焊盤類型要綜合考慮該元件的形狀、大小、布置形式、振動和受熱情況、受力方向等因素。Protel在封裝庫中給出了一系列不同大小和形狀的焊盤,如圓、方、八角、圓方和定位用焊盤等,但有時這還不夠用,需要自己編輯。例如,對發熱且受力較大、電流較大的焊盤,可自行設計成“淚滴狀”,在大家熟悉的彩電PCB的行輸出變壓器引腳焊盤的設計中,不少廠家正是採用的這種形式。一般而言,自行編輯焊盤時除了以上所講的以外,還要考慮以下原則:
(1)形狀上長短不一致時要考慮連線寬度與焊盤特定邊長的大小差異不能過大;
(2)需要在元件引角之間走線時選用長短不對稱的焊盤往往事半功倍;
(3)各元件焊盤孔的大小要按元件引腳粗細分別編輯確定,原則是孔的尺寸比引腳直徑大0.2- 0.4毫米。
PCB放置焊盤
1 .放置焊盤的方法
可以執行主選單中命令 Place/Pad ,也可以用組件放置工具列中的 Place Pad 按鈕。
進入放置焊盤( Pad )狀態後,滑鼠將變成十字形狀,將滑鼠移動到合適的位置上單擊就完成了焊盤的放置。
2 .焊盤的屬性設定
焊盤的屬性設定有以下兩種方法:
● 在用滑鼠放置焊盤時,滑鼠將變成十字形狀,按 Tab 鍵,將彈出 Pad (焊盤屬性)設定對話框.
7-24 焊盤屬性設定對話框
● 對已經在 PCB 板上放置好的焊盤,直接雙擊,也可以彈出焊盤屬性設定對話框。在焊盤屬性設定對話在框中有如下幾項設定:
● Hole Size :用於設定焊盤的內直徑大小。
● Rotation :用一設定焊盤放置的旋轉角度。
● Location :用於設定焊盤圓心的 x 和 y 坐標的位置。
● Designator 文本框:用於設定焊盤的序號。
● Layer 下拉列表:從該下拉列表中可以選擇焊盤放置的布線層。
● Net 下拉列表:該下拉列表用於設定焊盤的網路。
● Electrical Type 下拉列表:用於選擇焊盤的電氣特性。該下拉列表共有 3 種選擇方式: Load (節點)、 Source (源點)和 Terminator (終點)。
● Testpoint 複選項:用於設定焊盤是否作為測試點,可以做測試點的只有位於頂層的和底層的焊盤。
● Locked 複選項:選中該複選項,表示焊盤放置後位置將固定不動。
● Size and Shape 選項區域:用於設定焊盤的大小和形狀
● X-Size 和 Y-Size :分別設定焊盤的 x 和 y 的尺寸大小。
● Shape 下拉列表:用於設定焊盤的形狀,有 Round (圓形)、 Octagonal (八角形)和 Rectangle
(長方形)。
● Paste Mask Expansions 選項區域:用於設定助焊層屬性。
● Solder Mask Expansions 選項區域:用於設定阻焊層屬性。abc

各類膜

Mask
這些膜不僅是PcB製作工藝過程中必不可少的,而且更是元件焊裝的必要條件。按“膜”所處的位置及其作用,“膜”可分為元件面(或焊接面)助焊膜(TOp or Bottom 和元件面(或焊接面)阻焊膜(TOp or BottomPaste Mask)兩類。顧名思義,助焊膜是塗於焊盤上,提高可焊性能的一層膜,也就是在綠色板子上比焊盤略大的各淺色圓斑。阻焊膜的情況正好相反,為了使製成的板子適應波峰焊等焊接形式,要求板子上非焊盤處的銅箔不能粘錫,因此在焊盤以外的各部位都要塗覆一層塗料,用於阻止這些部位上錫。可見,這兩種膜是一種互補關係。由此討論,就不難確定選單中
類似“solder Mask En1argement”等項目的設定了。

飛線

有兩重含義
自動布線時供觀察用的類似橡皮筋的網路連線,在通過網路表調入元件並做了初步布局後,用“Show 命令就可以看到該布局下的網路連線的交叉狀況,不斷調整元件的位置使這種交叉最少,以獲得最大的自動布線的布通率。這一步很重要,可以說是磨刀不誤砍柴功,多花些時間,值!另外,自動布線結束,還有哪些網路尚未布通,也可通過該功能來查找。找出未布通網路之後,可用手工補償,實在補償不了就要用到“飛線”的第二層含義,就是在將來的印板上用導線連通這些網路。要交待的是,如果該電路板是大批量自動線生產,可將這種飛線視為0歐阻值、具有統一焊盤間距的電阻元件來進行設計.
印刷電路板(Printed circuit board,PCB)幾乎會出現在每一種電子設備當中。如果在某樣設備中有電子零件,那么它們也都是鑲在大小各異的PCB上。除了固定各種小零件外,PCB的主要功能是提供上頭各項零件的相互電氣連線。隨著電子設備越來越複雜,需要的零件越來越多,PCB上頭的線路與零件也越來越密集了。標準的PCB長得就像這樣。裸板(上頭沒有零件)也常被稱為「印刷線路板Printed Wiring Board(PWB)」。
板子本身的基板是由絕緣隔熱、並不易彎曲的材質所製作成。在表面可以看到的細小線路材料是銅箔,原本銅箔是覆蓋在整個板子上的,而在製造過程中部分被蝕刻處理掉,留下來的部分就變成網狀的細小線路了。這些線路被稱作導線(conductor pattern)或稱布線,並用來提供PCB上零件的電路連線。
為了將零件固定在PCB上面,我們將它們的接腳直接焊在布線上。在最基本的PCB(單面板)上,零件都集中在其中一面,導線則都集中在另一面。這么一來我們就需要在板子上打洞,這樣接腳才能穿過板子到另一面,所以零件的接腳是焊在另一面上的。因為如此,PCB的正反面分別被稱為零件面(Component Side)與焊接面(Solder Side)。
如果PCB上頭有某些零件,需要在製作完成後也可以拿掉或裝回去,那么該零件安裝時會用到插座(Socket)。由於插座是直接焊在板子上的,零件可以任意的拆裝。下面看到的是ZIF(Zero Insertion Force,零撥插力式)插座,它可以讓零件(這裡指的是CPU)可以輕鬆插進插座,也可以拆下來。插座旁的固定桿,可以在您插進零件後將其固定。
如果要將兩塊PCB相互連結,一般我們都會用到俗稱「金手指」的邊接頭(edge connector)。金手指上包含了許多裸露的銅墊,這些銅墊事實上也是PCB布線的一部分。通常連線時,我們將其中一片PCB上的金手指插進另一片PCB上合適的插槽上(一般叫做擴充槽Slot)。在計算機中,像是顯示卡,音效卡或是其它類似的界面卡,都是借著金手指來與主機板連線的。
PCB上的綠色或是棕色,是阻焊漆(solder mask)的顏色。這層是絕緣的防護層,可以保護銅線,也可以防止零件被焊到不正確的地方。在阻焊層上另外會印刷上一層絲網印刷面(silk screen)。通常在這上面會印上文字與符號(大多是白色的),以標示出各零件在板子上的位置。絲網印刷面也被稱作圖示面(legend)。

PCB打樣

PCB的中文名稱為印製電路板又稱印刷電路板、印刷線路板是重要的電子部件是電子元器件的支撐體?是電子元器件電氣連線的提供者。由於它是採用電子印刷術製作的故被稱為“印刷”電路板。
PCB打樣就是指印製電路板在批量生產前的試產主要套用為電子工程師在設計好電路?並完成PCB Layout之後向工廠進行小批量試產的過程即為PCB打樣。而PCB打樣的生產數量一般沒有具體界線一般是工程師在產品設計未完成確認和完成測試之前都稱之為PCB打樣。

轉換器

高速模擬/數字轉換器 (High speed ADC) 通常是模擬前端PCB電路系統里最基本的組成組件。由於模擬/數字元轉換器的性能決定系統的整體效能表現,因此系統製造商往往將模擬/數字轉換器視為最重要的組件。本文將詳細介紹超音波系統前端的運作原理,並特別討論模擬/數字轉換器在其中所發揮的作用。
在PCB設計超音波系統的前端PCB電路時,製造商必須審慎考慮幾項重要因素,以便進行適當的取捨。醫務人員能否作出正確的診斷,乃取決於模擬PCB電路在這個過程當中關鍵性的作用。
模擬PCB電路的表現則取決於許多不同的參數,其中包括通道之間的串音干擾、無雜散訊號動態範圍 (SFDR) 以及總諧波失真。因此製造商在決定選用何種模擬PCB電路之前,必須詳細考慮這些參數。
以模擬/數字轉換器為例來說,如果加設串列 LVDS 驅動器等先進PCB電路,便可縮小PCB電路板,以及抑制電磁波等噪聲的干擾,有助於進一步改善系統的PCB設計。微型化、高效能及功能齊備的超音波系統產品的製造,造成市場上持續要求生產低耗電模擬IC,使其具備與放大器、模擬/數字轉換器和小封裝的更佳整合。

系統概述

超音波影像系統是目前最常用而又最精密的訊號處理儀器,可協助醫務人員作出正確診斷。在超音波系統的前端,採用極度精密的模擬訊號處理PCB電路,像是模擬/數字轉換器及低噪聲放大器(LNA)等,而這些模擬PCB電路的表現是決定系統效能的關鍵因素。
超音波設備非常接近於雷達或聲納系統,只不過是在不同的頻率帶(範圍)中操作。 雷達操作於GHz(千兆赫)的範圍中,聲納在kHz(千赫)的範圍內,而超音波系統則在MHz(兆赫)範圍內操作。 這些設備的原理幾乎與商業和軍用航空器所用的-數組天線雷達系統操作模式相同。雷達系統的PCB設計者是使用相控操縱波束形成器數組為原理,這些原理後來也被超音波系統PCB設計者採用並加以改進。
在所有超音波系統儀器中,都有一個多元轉換器在相對較長的電纜(大約2公尺)的末端。電纜內含高達 256 條微型同軸電纜,是超音波系統內最昂貴的組件之一。超音波系統一般會配備多個不同的轉換器探頭,讓負責操作的醫務人員可以依掃描影像的現場需求來選擇適用的轉換器。

影像的產生

掃描過程的第一步,每一個轉換器負責產生脈衝訊號,並將訊號傳送出去。傳送出去的脈衝訊號以高頻率的聲波形式穿過人體組織,聲波的傳送速度一般介於1至20MHz之間。這些脈衝訊號開始在人體內進行定時和定標偵測。當訊號穿越身體的組織時,其中部分聲波會反射迴轉換器模組,並由轉換器負責偵測這些回波的電位(轉換器將訊號傳送出去之後,會立即進行切換,改用接收模式)。回波訊號的強度取決於回波訊號反射點在人體內的位置。直接從皮下組織反射回來的訊號一般都極強,而從人體內深入部位反射回來的訊號則極微弱。
由於健康安全相關法律對人體可以承受的最大輻射量有所規定,因此工程師PCB設計的電子接收系統必須極為靈敏。接近於人體表皮的病症區,我們稱之為近場 (near field),被反射回來的能量是高的。 但是如果病症區在人體內的深處部位,稱之為遠場 (far field),接收到的回波將極為微弱,因此必須被放大為1000倍或以上。
在遠場影像的模式時,其效能限制來自於接收鏈路中存在的所有噪聲。轉換器/電纜組件以及接收系統的低噪聲放大器是兩個最大的外來噪聲源。 而近場影像模式下,效能限制則是來自於輸入訊號的大小。 這兩種訊號之間的比率決定了超音波儀器的動態範圍。
通過一系列接收器的時相轉換、振幅調整以及智慧型型累計回波能量等過程,既可以獲得高清晰度的影像。利用轉換器數組的時移與調整接收訊號振幅的原理可以使設備具有定點觀測掃描部位的功能。經過序列化的不同部位定位觀測,超音波儀器即可建立一個組合影像。
數字聚波可以完成訊號的組合處理。在數字聚波中,經由身體內某一點反射回來的回波脈衝訊號會在每一信道內先儲存起來,然後按照其先後次序排列一起,並將之固定成為同調訊號,然後聚集起來。這種將多個模擬/數字轉換器的輸出聚集一起的處理方法可以提高增益,因為信道內的噪聲是互不相關的。(備註:模擬聚波技術基本已經成為過時的方法,現代所採用的大部分為數字聚波)。影像的形成,是於最接近轉換器系統的仿真層取樣,將其存儲起來,再以數位化把它們聚集在一起而成。
DBF 系統需要精確的信道與信道匹配。兩信道均需要VGA(視頻圖形數組),這種情況將會持續,直到模擬/數字轉換器設備足夠應付大的動態範圍,並可以提供合理的成本和低耗電量。

影像模式

1. 灰度影像 -- 產生基本的黑白圖像
影像將被辨析成1毫米那么小的單位,呈現的影像是由發射能量以及檢測那些返回的能量而成 (如先前所述)。
2. 都卜勒影像(Doppler)-- 都卜勒模式 (Doppler mode) 是通過跟蹤回波的頻率偏移來探測物體在各種環境中運動的速度。這些原理被套用在檢查體內血液或者其它液體在體內流動的情形。這種技術是透過發射一連串聲波進入體內,然後對反射波進行快速傅利葉轉換(Fourier Transform, FFT)處理。這種計算處理方法即可確定來自人體的訊號頻率分量,以及它們與流體速度的關係。
3.靜脈和動脈模式 -- 這種方式是將都卜勒影像與灰度模式的聯合套用。通過處理都卜勒位移產生的音效訊號即可獲得速率與節律。

設計步驟

電路原理圖的設計是整個電路設計的基礎,它的設計的好壞直接決定後面PCB設計的效果。一般來說,電路原理圖的設計如下。
具體設計的步驟:
第一步,啟動ProtelDXP原理圖編輯器
第二步,設定電路原理圖的大小與版面
第三步,從元件庫取出所需元件放置在工作平面
第四步,根據設計需要連線元器件
第五步,對布線後的元器件進行調整
第六步,保存已繪好的原理圖文檔
第七步,列印輸出圖紙

主要流程

在PCB設計中,其實在正式布線前,還要經過很漫長的步驟,以下就是PCB設計主要的流程:

系統規格

首先要先規劃出該電子設備的各項系統規格。包含了系統功能,成本限制,大小,運作情形等等。

功能區塊

接下來必須要製作出系統的功能方塊圖。方塊間的關係也必須要標示出來。
將系統分割幾個PCB 將系統分割數個PCB的話,不僅在尺寸上可以縮小,也可以讓系統具有升級與交換零件的能力。系統功能方塊圖就提供了我們分割的依據。像是計算機就可以分成主機板、顯示卡、音效卡、軟碟驅動器和電源等等。
決定使用封裝方法,和各PCB的大小 當各PCB使用的技術和電路數量都決定好了,接下來就是決定板子的大小了。如果PCB設計的過大,那么封裝技術就要改變,或是重新作分割的動作。在選擇技術時,也要將線路圖的品質與速度都考量進去。

高速流程

傳統的PCB設計流程,在信號速率越來越高,甚至GHZ以上的高速PCB設計領域已經不適用了。高速PCB設計必須和仿真以及驗證完美的結合在一起。而仿真也不是傳統意義的簡單的對設計進行驗證,而是嵌入整個設計流程的前仿真得到規則,規則驅動設計,到最後的後仿真驗證。
PCB設計

注意事項

(1)避免在PCB邊緣安排重要的信號線,如時鐘和復位信號等。
(2)機殼地線與信號線間隔至少為4毫米;保持機殼地線的長寬比小於5:1以減少電感效應。
(3)已確定位置的器件和線用LOCK功能將其鎖定,使之以後不被誤動。
(4)導線的寬度最小不宜小於0.2mm(8mil),在高密度高精度的印製線路中,導線寬度和間距一般可取12mil。
(5)在DIP封裝的IC腳間走線,可套用10-10與12-12原則,即當兩腳間通過2根線時,焊盤直徑可設為50mil、線寬與線距都為10mil,當兩腳間只通過1根線時,焊盤直徑可設為64mil、線寬與線距都為12mil。
(6)當焊盤直徑為1.5mm時,為了增加焊盤抗剝強度,可採用長不小於1.5mm,寬為1.5mm和長圓形焊盤。
(7)設計遇到焊盤連線的走線較細時,要將焊盤與走線之間的連線設計成水滴狀,這樣焊盤不容易起皮,走線與焊盤不易斷開。
(8)大面積敷銅設計時敷銅上應有開視窗,加散熱孔,並將開視窗設計成網狀。
(9)儘可能縮短高頻元器件之間的連線,減少它們的分布參數和相互間的電磁干擾。易受干擾的元器件不能相互挨得太近,輸入和輸出元件應儘量遠離。

特殊元件

1、高頻元件:高頻元件之間的連線越短越好,設法減小連線的分布參數和相互之間的電磁干擾,易受干擾的元件不能離得太近。隸屬於輸入和隸屬於輸出的元件之間的距離應該儘可能大一些。
2、具有高電位差的元件:應該加大具有高電位差元件和連線之間的距離,以免出現意外短路時損壞元件。為了避免爬電現象的發生,一般要求2000V電位差之間的銅膜線距離應該大於2mm,若對於更高的電位差,距離還應該加大。帶有高電壓的器件,應該儘量布置在調試時手不易觸及的地方。
3、重量太大的元件:此類元件應該有支架固定,而對於又大又重、發熱量多的元件,不宜安裝在電路板上。
4、發熱與熱敏元件:注意發熱元件應該遠離熱敏元件。

規則裝配

在設計中,從PCB板的裝配角度來看,要考慮以下參數:
1)孔的直徑要根據最大材料條件( MMC) 和最小材料條件(LMC) 的情況來決定。一個無支撐元器件的孔的直徑應當這樣選取,即從孔的MMC 中減去引腳的MMC ,所得的差值在0.15 -0. 5mm 之間。而且對於帶狀引腳,引腳的標稱對角線和無支撐孔的內徑差將不超過0.5mm ,並且不少於0.15mm。
2) 合理放置較小元器件,以使其不會被較大的元器件遮蓋。
3) 阻焊的厚度應不大於0.05mm。
4) 絲網印製標識不能和任何焊盤相交。
5) 電路板的上半部應該與下半部一樣,以達到結構對稱。因為不對稱的電路板可能會變彎曲。

相關詞條

熱門詞條

聯絡我們