CPLD

CPLD

CPLD(Complex Programmable Logic Device)複雜可程式邏輯器件,是從PAL和GAL器件發展出來的器件,相對而言規模大,結構複雜,屬於大規模積體電路範圍。是一種用戶根據各自需要而自行構造邏輯功能的數字積體電路。其基本設計方法是藉助集成開發軟體平台,用原理圖、硬體描述語言等方法,生成相應的目標檔案,通過下載電纜(“在系統”編程)將代碼傳送到目標晶片中,實現設計的數字系統

基本介紹

  • 中文名:CPLD
  • 外文名:Complex Programmable Logic Device
  • 屬於:複雜可程式邏輯器件
  • 包括:從PAL和GAL器件發展出來的器件
  • 目的:實現設計的數字系統
器件簡介,辨別和分類,程式語言,

器件簡介

CPLD主要是由可程式邏輯宏單元(MC,Macro Cell)圍繞中心的可程式互連矩陣單元組成。其中MC結構較複雜,並具有複雜的I/O單元互連結構,可由用戶根據需要生成特定的電路結構,完成一定的功能。由於CPLD內部採用固定長度的金屬線進行各邏輯塊的互連,所以設計的邏輯電路具有時間可預測性,避免了分段式互連結構時序不完全預測的缺點。
發展歷史及套用領域
20世紀70年代,最早的可程式邏輯器件--PLD誕生了。其輸出結構是可程式的邏輯宏單元,因為它的硬體結構設計可由軟體完成(相當於房子蓋好後人工設計局部室內結構),因而它的設計比純硬體的數字電路具有很強的靈活性,但其過於簡單的結構也使它們只能實現規模較小的電路。為彌補PLD只能設計小規模電路這一缺陷,20世紀80年代中期,推出了複雜可程式邏輯器件--CPLD。此套用已深入網路、儀器儀表、汽車電子、數控工具機、航天測控設備等方面。
器件特點
它具有編程靈活、集成度高、設計開發周期短、適用範圍寬、開發工具先進、設計製造成本低、對設計者的硬體經驗要求低、標準產品無需測試、保密性強、價格大眾化等特點,可實現較大規模的電路設計,因此被廣泛套用於產品的原型設計和產品生產(一般在10,000件以下)之中。幾乎所有套用中小規模通用數字積體電路的場合均可套用CPLD器件。CPLD器件已成為電子產品不可缺少的組成部分,它的設計和套用成為電子工程師必備的一種技能。
如何使用
CPLD是一種用戶根據各自需要而自行構造邏輯功能的數字積體電路。其基本設計方法是藉助集成開發軟體平台,用原理圖、硬體描述語言等方法,生成相應的目標檔案,通過下載電纜(“在系統”編程)將代碼傳送到目標晶片中,實現設計的數字系統
這裡以搶答器為例講一下它的設計(裝修)過程,即晶片的設計流程。CPLD的工作大部分是在電腦上完成的。打開集成開發軟體(Altera公司 Max+pluxII)→畫原理圖、寫硬體描述語言(VHDL,Verilog)→編譯→給出邏輯電路的輸入激勵信號,進行仿真,查看邏輯輸出結果是否正確→進行管腳輸入、輸出鎖定(7128的64個輸入、輸出管腳可根據需要設定)→生成代碼→通過下載電纜將代碼傳送並存儲在CPLD晶片中。7128這塊晶片各管腳已引出,將數碼管、搶答開關、指示燈、蜂鳴器通過導線分別接到晶片板上,通電測試,當搶答開關按下,對應位的指示燈應當亮,答對以後,裁判給加分後,看此時數碼顯示加分結果是否正確,如發現有問題,可重新修改原理圖或硬體描述語言,完善設計。設計好後,如批量生產,可直接複製其他CPLD晶片,即寫入代碼即可。如果要對晶片進行其它設計,比如進行交通燈設計,要重新畫原理圖、或寫硬體描述語言,重複以上工作過程,完成設計。這種修改設計相當於將房屋進行了重新裝修,這種裝修對CPLD來說可進行上萬次。
家庭成員
經過幾十年的發展,許多公司都開發出了CPLD可程式邏輯器件。比較典型的就是Altera、Lattice、Xilinx世界三大權威公司的產品,這裡給出常用晶片: Altera EPM7128S (PLCC84)
Lattice LC4128V (TQFP100)
Xilinx XC95108 (PLCC84)

辨別和分類

FPGA與CPLD的辨別和分類主要是根據其結構特點和工作原理。通常的分類方法是:
將以乘積項結構方式構成邏輯行為的器件稱為CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。
將以查表法結構方式構成邏輯行為的器件稱為FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K或ACEX1K系列等。
儘管FPGA和CPLD都是可程式ASIC器件,有很多共同特點,但由於CPLD和FPGA結構上的差異,具有各自的特點:
①CPLD更適合完成各種算法和組合邏輯,FPGA更適合於完成時序邏輯。換句話說,FPGA更適合於觸發器豐富的結構,而CPLD更適合於觸發器有限而乘積項豐富的結構。
②CPLD的連續式布線結構決定了它的時序延遲是均勻的和可預測的,而FPGA的分段式布線結構決定了其延遲的不可預測性。
③在編程上FPGA比CPLD具有更大的靈活性。CPLD通過修改具有固定內連電路的邏輯功能來編程,FPGA主要通過改變內部連線的布線來編程;FPGA可在邏輯門下編程,而CPLD是在邏輯塊下編程。
④FPGA的集成度比CPLD高,具有更複雜的布線結構和邏輯實現。
⑤CPLD比FPGA使用起來更方便。CPLD的編程採用E2PROM或FASTFLASH技術,無需外部存儲器晶片,使用簡單。而FPGA的編程信息需存放在外部存儲器上,使用方法複雜。
⑥CPLD的速度比FPGA快,並且具有較大的時間可預測性。這是由於FPGA是門級編程,並且CLB之間採用分散式互聯,而CPLD是邏輯塊級編程,並且其邏輯塊之間的互聯是集總式的。
⑦在編程方式上,CPLD主要是基於E2PROM或FLASH存儲器編程,編程次數可達1萬次,優點是系統斷電時編程信息也不丟失。CPLD又可分為在編程器上編程和在系統編程兩類。FPGA大部分是基於SRAM編程,編程信息在系統斷電時丟失,每次上電時,需從器件外部將編程數據重新寫入SRAM中。其優點是可以編程任意次,可在工作中快速編程,從而實現板級和系統級的動態配置。
⑧CPLD保密性好,FPGA保密性差。
⑨一般情況下,CPLD的功耗要比FPGA大,且集成度越高越明顯。

程式語言

CPLD可以用梯形圖來編輯邏輯功能,也可以用硬體描述語言來編寫,常用的語言有Verilog HDL和VHDL

相關詞條

熱門詞條

聯絡我們