CMOS數字積體電路——分析與設計(第四版)(英文版)

CMOS數字積體電路——分析與設計(第四版)(英文版)

全書詳細講述了CMOS數字積體電路的相關內容,為反映納米級別CMOS技術的廣泛套用和技術的發展, 全書在前版的基礎上對電晶體模型公式和器件參數進行了修正,幾乎全部章節都進行了重寫,提供了反映現代 技術發展水平和電路設計的最新資料。

基本介紹

  • 書名:CMOS數字積體電路——分析與設計(第四版)(英文版)
  • ISBN:9787121248047
  • 出版社:電子工業出版社
  • 出版時間:2015-01-01
圖書內容,CONTENTS目錄,

圖書內容

全書詳細講述了CMOS數字積體電路的相關內容,為反映納米級別CMOS技術的廣泛套用和技術的發展, 全書在前版的基礎上對電晶體模型公式和器件參數進行了修正,幾乎全部章節都進行了重寫,提供了反映現代 技術發展水平和電路設計的最新資料。
全書共15章。第1章至第8章詳細討論MOS電晶體的相關特性和工作原 理、基本反相器電路設計、組合邏輯電路及時序邏輯電路的結構與工作原理;第9章至第13章主要介紹套用於 先進VLSI晶片設計的動態邏輯電路、先進的半導體存儲電路、低功耗CMOS邏輯電路、數字運算和轉換電路、 晶片的I/O設計;第14章和第15章分別討論電路的產品化設計和可測試性設計這兩個重要問題。

CONTENTS目錄

Chapter 1 Introduction
概論 1
1.1 Historical Perspective
發展歷史 1
1.2 Objective and Organization of the Book
本書的目標和結構 5
1.3 A Circuit Design Example
電路設計舉例 8
1.4 Overview of VLSI Design Methodologies
VLSI 設計方法綜述 18
1.5 VLSI Design Flow
VLSI 設計流程 20
1.6 Design Hierarchy
設計分層 23
1.7 Concepts of Regularity, Modularity, and Locality
規範化、模組化和本地化的概念 26
1.8 VLSI Design Styles
VLSI 的設計風格 28
1.9 Design Quality
設計質量 39
1.10 Packaging Technology
封裝技術 41
1.11 Computer-Aided Design Technology
計算機輔助設計技術 44
Exercise Problems
習題 46
Chapter 2 Fabrication of MOSFETs
MOS 場效應管的製造 49
2.1 Introduction
概述 49
2.2 Fabrication Process Flow: Basic Steps
製造工藝的基本步驟 50
2.3 The CMOS n-Well Process
CMOS n 阱工藝 60
2.4 Evolution of CMOS Technology
CMOS 技術的發展 67
2.5 Layout Design Rules
版圖設計規則 74
2.6 Full-Custom Mask Layout Design
全定製掩膜版圖設計 78
Exercise Problems
習題 82
Chapter 3 MOS Transistor
MOS 電晶體 92
3.1 The Metal Oxide Semiconductor (MOS) Structure
金屬-氧化物-半導體 (MOS) 結構 92
3.2 The MOS System Under External Bias
外部偏置下的 MOS 系統 96
3.3 Structure and Operation of the MOS Transistor (MOSFET)
MOS 場效應管 (MOSFET) 的結構和作用 99
3.4 MOSFET Current-Voltage Characteristics
MOSFET 的電流-電壓特性 109
3.5 MOSFET Scaling and Small-Geometry Effects
MOSFET 的收縮和小尺寸效應 120
3.6 MOSFET Capacitances
MOSFET 電容 151
Exercise Problems
習題 162
Chapter 4 Modeling of MOS Transistors Using SPICE
用 SPICE 進行 MOS 管建模 167
4.1 Introduction
概述 167
4.2 Basic Concepts
基本概念 168
4.3 The Level 1 Model Equations
一級模型方程 170
4.4 The Level 2 Model Equations
二級模型方程 174
4.5 The Level 3 Model Equations
三級模型方程 178
4.6 State-of-the-Art MOSFET Models
先進的 MOSFET 模型 179
4.7 Capacitance Models
電容模型 180
4.8 Comparison of the SPICE MOSFET Models
SPICE MOSFET 模型的比較 184
Appendix: Typical SPICE Model Parameters
附錄 典型 SPICE 模型參數 186
Exercise Problems
習題 192
Chapter 5 MOS Inverters: Static Characteristics
MOS 反相器的靜態特性 194
5.1 Introduction
概述 194
5.2 Resistive-Load Inverter
電阻負載型反相器 202
5.3 Inverters with MOSFET Load
MOSFET 負載反相器 211
5.4 CMOS Inverter
CMOS 反相器 221
Appendix: Sizing Trends of CMOS Inverter with Small-Geometry Devices
附錄 小几何尺寸器件中 CMOS 反相器尺寸的發展趨勢 239
Exercise Problems
習題 241
Chapter 6 MOS Inverters: Switching Characteristics and Interconnect Effects
MOS 反相器的開關特性和體效應 245
6 1 Introduction
概述 245
6 2 Delay-Time Denitions
延遲時間的定義 247
6.3 Calculation of Delay Times
延遲時間的計算 249
6.4 Inverter Design with Delay Constraints
延遲限制下的反相器設計 257
6.5 Estimation of Interconnect Parasitics
互連線電容的估算 267
6.6 Calculation of Interconnect Delay
互連線延遲的計算 280
6.7 Switching Power Dissipation of CMOS Inverters
CMOS 反相器的開關功耗 288
Appendix: Super Buffer Design
附錄 超級緩衝器的設計 297
Exercise Problems
習題 300
Chapter 7 Combinational MOS Logic Circuits
組合 MOS 邏輯電路 305
7.1 Introduction
概述 305
7.2 MOS Logic Circuits with Pseudo-nMOS (pMOS) Loads
帶偽 nMOS(pMOS) 負載的 MOS 邏輯電路 306
7.3 CMOS Logic Circuits
CMOS 邏輯電路 319
7.4 Complex Logic Circuits
複雜邏輯電路 326
7.5 CMOS Transmission Gates (Pass Gates)
CMOS 傳輸門 339
Exercise Problems
習題 349
Chapter 8 Sequential MOS Logic Circuits
時序 MOS 邏輯電路 356
8.2 Behavior of Bistable Elements
雙穩態元件的特性 357
8.3 The SR Latch Circuit
SR 鎖存電路 363
8.4 Clocked Latch and Flip-Flop Circuits
鐘控鎖存器和觸發器電路 368
8.5 Timing-Related Parameters of Clocked Storage Elements
時鐘存儲器件的相關時序特性 376
8.6 CMOS D-Latch and Edge-Triggered Flip-Flop
CMOS 的 D 鎖存器和邊沿觸發器 378
8.7 Pulsed Latch-Based Clocked Storage Elements
以時鐘存儲元件為基礎的脈衝鎖存器 384
8 8 Sense-Amplier-Based Flip-Flops
基於靈敏放大器的觸發器電路 386
8.9 Logic Embedding in Clocked Storage Elements
時鐘存儲器件中的邏輯嵌入 388
8.10 Power Consumption of Clocking System and Power Savings Methodologies
時鐘系統的能耗及其節能措施 389
Appendix
附錄 391
Exercise Problems
習題 394
Chapter 9 Dynamic Logic Circuits
動態邏輯電路 398
9.1 Introduction
概述 398
9.2 Basic Principles of Pass Transistor Circuits
傳輸電晶體電路的基本原理 400
9.3 Voltage Bootstrapping
電壓自舉技術 412
9.4 Synchronous Dynamic Circuit Techniques
同步動態電路技術 416
9.5 Dynamic CMOS Circuit Techniques
動態 CMOS 電路技術 421
9.6 High-Performance Dynamic CMOS Circuits
高性能動態邏輯 CMOS 電路 425
Exercise Problems
習題 442
Chapter 10 Semiconductor Memories
半導體存儲器 447
10.1 Introduction
概述 447
10.2 Dynamic Random Access Memory (DRAM)
動態隨機存儲器 (DRAM) 452
10.3 Static Random Access Memory (SRAM)
靜態隨機存儲器 (SRAM) 481
10.4 Nonvolatile Memory
非易失存儲器 497
10.5 Flash Memory
快閃記憶體 510
10.6 Ferroelectric Random Access Memory (FRAM)
鐵電隨機存儲器 (FRAM) 518
Exercise Problems
習題 521
Chapter 11 Low-Power CMOS Logic Circuits
低功耗 CMOS 邏輯電路 527
11.2 Overview of Power Consumption
功耗綜述 528
11.3 Low-Power Design Through Voltage Scaling
電壓按比例降低的低功率設計 541
11.4 Estimation and Optimization of Switching Activity
開關激活率的估算和最佳化 552
11.5 Reduction of Switched Capacitance
減小開關電容 558
11.6 Adiabatic Logic Circuits
絕熱邏輯電路 560
Exercise Problems
習題 568
Chapter 12 Arithmetic Building Blocks
算術組合模組 569
12.1 Introduction
概述 569
12.2 Adder
加法器 569
12.3 Multipliers
乘法器 580
12.4 Shifter
移位器 586
Exercise Problems
習題 588
Chapter 13 Clock and I/O Circuits
時鐘電路與輸入輸出電路 592
13.1 Introduction
概述 592
13.2 ESD Protection
靜電放電 (ESD) 保護 592
13.3 Input Circuits
輸入電路 596
13.4 Output Circuits and L(di/dt) Noise
輸出電路和 L(di/dt) 噪聲 600
13.5 On-Chip Clock Generation and Distribution
片內時鐘生成和分配 605
13.6 Latch-Up and Its Prevention
閂鎖現象及其預防措施 620
Appendix: Network-on-Chip: An Emerging Paradigm for Next-Generation SoCs
附錄 晶片網路:下一代片上系統的新範例 627
Exercise Problems
習題 631
Chapter 14 Design for Manufacturability
產品化設計 633
14.1 Introduction
概述 633
14.2 Process Variations
工藝變化 634
14 3 Basic Concepts and Denitions
基本概念和定義 636
14.4 Design of Experiments and Performance Modeling
實驗設計與性能建模 642
14.5 Parametric Yield Estimation
參數成品率的估計 650
14.6 Parametric Yield Maximization
參數成品率的最大值 655
14.7 Worst-Case Analysis
最壞情況分析 657
14.8 Performance Variability Minimization
性能參數變化的最小化 663
Exercise Problems
習題666
Chapter 15 Design for Testability
可測試性設計 670
15.1 Introduction
概述670
15.2 Fault Types and Models
故障類型和模型 670
15.3 Controllability and Observability
可控性和可觀察性 674
15.4 Ad Hoc Testable Design Techniques
專用可測試性設計技術 675
15.5 Scan-Based Techniques
基於掃描的技術 678
15.6 Built-In Self-Test (BIST) Techniques
內建自測 (BIST) 技術 680
15.7 Current Monitoring IDDQ Test
電流監控 IDDQ 檢測 683
Exercise Problems
習題684
References
參考文獻 685
Index
索引 691

相關詞條

熱門詞條

聯絡我們