國外經典教材系列·VHDL數字電子學

國外經典教材系列·VHDL數字電子學

《國外經典教材系列·VHDL數字電子學》不僅是一本參考書,還是一種學習工具。書中每一主題首先進述概念和理論,接著講述使用方法,之後,會給出幾道帶解合的例題,在一些主題中,還包含有一個系統設計套用。每一章結尾部分的習題可以促使你回顧本章的內容並檢查自己是否達到該章開始部分所提出的學習目標。每章結尾部分的問題需進行更多的分析推理,但解答問題的方法在例題中都已部出。

基本介紹

  • 書名:國外經典教材系列·VHDL數字電子學
  • 頁數:735頁
  • 出版社:科學出版社
  • 裝幀:平裝
圖書信息,作者簡介,目錄,

圖書信息

出版社: 科學出版社; 第1版 (2008年3月1日)
叢書名: 國外經典教材系列
平裝: 735頁
正文語種: 簡體中文
開本: 16
ISBN: 9787030206978
條形碼: 9787030206978
尺寸: 29 x 21.8 x 3.4 cm
重量: 1.4 Kg

作者簡介

作者:(美國)克萊茨(Kleitz,W) 譯者:李慧軍

目錄

第1章 數字系統和編碼
1-1 數字和模擬
1-2 模擬量的數字表示
1-3 十進制系統(以10為基)
1-4 二進制系統(以2為基)
1-5 十進制到二進制的轉換
1-6 八進制系統(以8為基)
1-7 八進制的轉換
1-8 十六進制系統(以16為基)
1-9 十六進制的轉換
1-10 二一十進制(BCD)碼
1-11 數制系統的比較
1-12 ASCII碼
1-13 數制系統的套用
第2章 數字電子信號與開關
2-1 數位訊號
2-2 時鐘波形時序
2-3 串列表示
2-4 並行表示
2-5 電子電路中的開關
2-6 繼電器作為開關
2-7 二極體作為開關
2-8 電晶體作為開關
2-9 TT1積體電路
2-10 CMOS積體電路
2-11 表面安裝器件
第3章 基本邏輯門
3-1 與門
3-2 或門
3-3 時序分析
3-4 使能和禁止功能
3-5 使用邏輯門IC
3-6 查錯技術介紹
3-7 反相器
3-8 與非門
3-9 或非門
3-10 邏輯門波形發生
3-11 使用邏輯門IC
3-12 基本邏輯門小結和IEEE/IEC標準邏輯符號
第4章 可程式邏輯器件:用VHDL設計CP-L與FPGA
4-1 PLD設計流程
4-2 PLD體系結構
4-3 用PLD完成基本邏輯設計
4-4 Altera MAX+PLUSⅡ軟體設計教程
4-5 CPLD套用
第5章 布爾代數與化簡技術
5-1 組合邏輯
5-2 布爾代數公理和定理
5-3 使用布爾代數簡化組合邏輯電路
5-4 使用MAX+PLUS Ⅱ確定簡化等式
5-5 德?摩根定理
5-6 在VHDL中使用向量信號輸入真值表
5-7 與非門和或非門的通用性
5-8 用與-或-非門實現“積之和”表達式
5-9 卡諾圖
5-10 系統設計套用
第6章 異或門與異或非門
6-1 異或門
6-2 異或非門
6-3 校驗產生器/檢測器
6-4 系統設計套用
6-5 用VHDL進行CPLD設計套用
第7章 算術運算及其電路
7-1 二進制算術
7-2 2的補碼錶示法
7-3 2的補碼算術
7-4 十六進制算術
7-5 BCD算術
7-6 算術電路
7-7 四位全加器積體電路
7-8 使用整數算術的VHDL,加法器
7-9 系統設計套用
7-10 算術/邏輯單元
7-11 用VHDL和1PM進行CPLD套用
第8章 代碼轉換器、多路復用器和多路分配器
8-1 比較器
8-2 使用IF-THEN-ELSE的VHDL比較器
8-3 解碼
8-4 VHDL語言實現的解碼器
8-5 編碼
8-6 代碼轉換器
8-7 多路復用器
8-8 多路分配器
8-9 系統設計套用
8-10 使用LPM進行CPLD設計
第9章 邏輯系列及其特性
9-1 TTL系列
9-2 TTL電壓和電流額定值
9-3 TTL的其他考慮
9-4 改進的TTL系列
9-5 CMOS系列
9-6 射極耦合邏輯
9-7 邏輯系列比較
9-8 邏輯系列問的接口
9-9 CPLD電特性
第10章 觸發器和暫存器
10-1 墨R觸發器
10-2 門控譬R觸發器
10-3 門控D觸發器
10-4 D鎖存器:7475 IC;VHDL描述
10-5 D觸發器:7474 IC;VHDL描述
10-6 主從山K觸發器
10-7 邊沿觸發的止K觸發器及其VHDL模型
10-8 山K觸發器積體電路(7476,741S76)
10-9 在微控制器套用中使用八D觸發器
10-10 使用Altera的LPM觸發器
第11章 數字設計中需要考慮的實際問題
11-1 觸發器的時間參數
11-2 自動復位
11-3 史密特觸發器積體電路
11-4 開關除顫
11-5 定製上拉電阻
11-6 在實際套用中對輸入和輸出需要考慮的問題
第12章 計數器電路和VHD1狀態機
12-1 時序電路的分析
12-2 行波計數器:止K觸發器和VHDL描述
12-3 除N計數器的設計
12-4 行波計數器積體電路
12-5 系統設計套用
12-6 七段LED顯示解碼器:7447IC和VHDL描述
12-7 同步計數器
12-8 同步遞加/遞減計數器IC
12-9 同步計數器IC的套用
12-10 VHDL和LPM計數器
12-11 用VHDL實現狀態機
第13章 移位暫存器
13-1 移位暫存器基礎
13-2 並行到串列轉換
13-3 再循環暫存器
13-4 串列到並行轉換
13-5 環形移位計數器和Johnson移位計數器
13-6 移位暫存器的VHDL描述
13-7 移位暫存器IC
13-8 移位暫存器的系統設計套用
13-9 用移位暫存器驅動步進電機
13-10 三態緩衝器、鎖存器、收發器
13-11 LPM移位暫存器和74194宏函式的使用
13-12 使用VHDL部件和示例
第14章 多諧振盪器和555定時器
14-1 多諧振盪器
14-2 電容充電和放電速度
14-3 非穩態多諧振盪器
14-4 單穩態多諧振盪器
14-5 單穩態多諧振盪器積體電路
14-6 可重觸發的單穩態多諧振盪器
14-7 555定時器電路的非穩態工作
14-8 555定時器IC的單穩態工作
14-9 晶體振盪器
第15章 模擬量接口
15-1 數字表示和模擬表示
15-2 運算放大器基礎
15-3 二進制加權D/A轉換器
15-4 R/2R階梯D/A轉換器
15-5 積體電路D/A轉換器
15-6 積體電路數據轉換器技術指標
15-7 並行編碼A/D轉換器
15-8 計數器-斜坡A/D轉換器
15-9 逐次逼近A/D轉換器
15-10 積體電路A/D轉換器
15-11 數據採集系統套用
15-12 感測器和信號調理
第16章 半導體、磁和光存儲器
16-1 存儲器概念
16-2 靜態RAM
16-3 動態RAM
16-4 唯讀存儲器
16-5 存儲器擴展和地址解碼套用
16-6 磁和光存儲
第17章 微處理器基本原理
17-1 系統結構和匯流排介紹
17-2 微處理器系統的軟體控制
17-3 微處理器內部結構
17-4 微處理器內的指令執行
17-5 基本I/O編程的硬體需求
17-6 編寫彙編語言和機器語言程式
17-7 微處理器及其製造商一覽
第18章 8051微控制器
18-1 8051系列微控制器
18-2 8051結構
18-3 外部存儲器接口
18-4 8051指令集
18-5 8051套用
18-6數據採集和控制系統套用
附錄A
附錄B 廠家數據手冊
附錄C 邏輯符號的IEEE/IEC標準說明(相關標記)
附錄D 奇數編號問題答案
附錄E VHDL語言參考
附錄F 基本電路原理複習
附錄G 章尾問題原理圖
附錄H 8051指令集

相關詞條

熱門詞條

聯絡我們