可程式邏輯器件與EDA技術

可程式邏輯器件與EDA技術

《可程式邏輯器件與EDA技術》是2014年清華大學出版社出版的圖書,作者是方易圓。本書可作為高等學校電子信息工程、通信工程、廣電通信與網路工程、雷達、計算機套用、工業自動化、儀器儀表、信號與信息處理等學科本科生或研究生的EDA技術或數字系統設計課程的教材和實驗指導書,也可作為數字系統工程設計人員的技術培訓教材或技術參考書。

基本介紹

  • 書名:可程式邏輯器件與EDA技術
  • 作者:方易圓
  • ISBN:9787302344827
  • 定價:39.8元
  • 出版社:清華大學出版社
  • 出版時間:2014-02-01
  • 開本:平裝
  • 印次:1-1
  • 印刷日期:2014-1-21
內容簡介,前言,目錄,

內容簡介

本書根據電子類課程的教學和實驗要求,以提高學生的實踐動手能力和工程設計能力為目的,對EDA技術、VHDL硬體描述語言、CPLD/FPGA開發套用及相關知識進行了系統和完整的介紹。讀者通過學習本書,可以了解EDA的基本知識並初步掌握CPLD/FPGA的開發套用能力。 全書共分7章,主要內容包括:緒論、CPLD/FPGA結構原理、Altera可程式邏輯系列器件、VHDL硬體描述語言、VHDL設計套用實例、Quartus Ⅱ軟體及其套用以及EDA技術綜合設計套用。本書內容新穎,技術先進,由淺入深,既有關於EDA技術、大規模可程式邏輯器件CPLD/FPGA及VHDL硬體描述語言的系統介紹,又有豐富的設計套用實例。

前言

《可程式邏輯器件與EDA技術》是上海工程技術大學電子信息工程系在近年的教學改革的要求下,針對加強工程實踐教育,提高教育質量,培養具有實踐能力和創新能力的卓越工程師而編寫的一本教材。
“可程式器件套用”課程是上海工程技術大學電子信息工程及廣電通信與網路工程專業的一門特色選修課程。可程式邏輯器件是近幾年發展起來的一種新型積體電路,是當前數字系統設計的主要硬體基礎,是硬體程式語言HDL的物理實現工具。可程式邏輯器件對數字系統設計自動化起著很大的推動作用,可以說,沒有可程式邏輯器件就沒有當前的數字電路自動化。目前,由於這種以可程式邏輯器件為原材料,從“製造自主晶片”開始的EDA設計模式已成為當前數字系統設計的主流,因此要學習世界先進的數字系統設計方法,就要認識並使用可程式邏輯器件。EDA技術是以大規模可程式邏輯器件為設計載體,以硬體描述語言為系統邏輯描述的主要表達方式,以計算機、大規模可程式邏輯器件的開發軟體及實驗開發系統為設計工具,通過有關的開發軟體,自動完成用軟體的方法設計電子系統的一門新技術。
隨著EDA技術的發展和套用領域的擴大,EDA技術在電子信息、通信、自動控制及計算機套用等領域的重要性日益突出。同時,隨著技術市場與人才市場對EDA技術需求的不斷提高,產品的市場效率和技術要求也必然會反映到教學和科研領域中來。因此國內外許多著名院校都開設EDA技術的教學與實踐課程,這是科技發展和市場需求雙重影響的結果。為了適應這一需求,突出可程式邏輯器件和EDA技術在高新技術行業的實用性,面向工程實訓的特點,以及培養學生的自主創新能力,編寫一本既適應本專業學生特點又適應卓越工程師培養目標要求的教材顯得十分必要。本書力求將可程式邏輯器件和EDA技術的最新發展成果、現代電子設計最前沿的理論和技術、國際業界普遍接受和認可的EDA軟硬體開發平台的實用方法,通過合理的綜合和萃取,奉獻給讀者。
本書從工程的角度全面介紹了可程式邏輯器件的基本概念、結構、特點、技術原理及其在數字系統設計中的典型套用,並從初學者的角度入手,以清晰的知識結構為讀者提供了大量的工程套用實例,使讀者快速上手, 具有較高的參考價值。本書的主要內容包括EDA技術的概念及其發展歷程、CPLD/FPGA結構原理、Altera可程式邏輯器件、VHDL硬體描述語言、VHDL設計套用實例、Quartus Ⅱ軟體及其套用以及EDA技術綜合設計套用。本書在綜合國內外同類教材特點的基礎上,在滿足課程教學基本要求的前提下,對教學內容精心選擇,既注重基礎知識,又體現其先進性,並突出可程式邏輯器件在數字系統設計中的具體套用。本書的特點主要體現在以下幾個方面:
(1) 注重基本概念: 本書主要從數字系統設計者和使用者的角度講述可程式邏輯器件與EDA技術的套用和深入研究所必備的基本概念和方法。
(2) 突出實踐套用: 本書內容在編排上力求儘可能把可程式邏輯器件與EDA技術發展的新成果吸收進來,把可程式邏輯器件在數字系統中的實際套用以綜合設計實例的形式呈現在讀者面前。書中介紹了數字系統的設計思想及硬、軟體實現方案,便於讀者邊學習邊實踐,加快掌握可程式邏輯器件與EDA技術套用的進程。
(3) 合理編排內容: 本書注重概念的系統性,由淺入深,循序漸進,使讀者便於從概念層次、技術層次和套用層次上對可程式邏輯器件與EDA技術的設計和實現有一個真正的了解。
本書由上海工程技術大學電子電氣工程學院組織編寫,方易圓編著。鄧琛教授對本書的編寫工作給了大量指導,並主審,在此表示衷心的感謝。作者在編寫本書的過程中,參閱了大量的國內外參考文獻,總結了多年的教學與實踐經驗,並得到了電子電氣工程學院各位領導及電子信息工程系各位老師的大力支持和幫助,同時也得到了家人和朋友的鼎力相助,在此表示衷心的感謝。
限於作者的水平,錯誤之處在所難免,懇請讀者批評指正。
編者
上海工程技術大學
2013年10月

目錄

第1章緒論1
1.1EDA技術簡介1
1.2可程式邏輯器件的發展概況4
1.3數字系統EDA設計語言6
1.4EDA與傳統電子設計方法9
1.4.1傳統的電子設計方法9
1.4.2自頂向下的電子設計方法10
1.4.3EDA與傳統電子設計方法的比較12
1.5深亞微米14
1.6IP核14
1.7本章小結14
思考與練習15
第2章CPLD/FPGA結構原理16
2.1可程式邏輯器件入門16
2.1.1邏輯器件含義16
2.1.2可程式邏輯器件PLD20
2.1.3可程式邏輯器件的優點21
2.1.4可程式邏輯器件的發展趨勢22
2.1.5幾大PLD生產廠家24
2.2PLD的分類24
2.2.1按集成度區分24
2.2.2按結構特點區分24
2.2.3按編程工藝區分25
2.3簡單PLD結構原理26
2.3.1邏輯符號表示方法26
2.3.2PROM結構原理272.3.3PLA結構原理28
2.3.4PAL結構原理30
2.3.5GAL結構原理32
2.4CPLD的結構及其工作原理35
2.5FPGA的結構及其工作原理40
2.5.1查找表型FPGA邏輯結構41
2.5.2Cyclone Ⅲ系列器件的結構與工作原理44
2.5.3FLEX/ACEX系列FPGA晶片內部結構49
2.5.4SpartanⅡ系列FPGA內部結構50
2.5.5基於乘積項的可程式邏輯器件52
2.5.6其他結構的可程式邏輯器件54
2.5.7FPGA與CPLD的區別54
2.6本章小結55
思考與練習56
第3章Altera可程式邏輯系列器件57
3.1概述57
3.1.1Altera器件性能特點57
3.1.2Altera器件系列59
3.2MAX7000系列器件62
3.2.1MAX7000器件性能特點62
3.2.2MAX7000S/E器件結構63
3.2.3MAX7000器件特性設定68
3.2.4MAX7000器件編程測試69
3.3FLEX10K系列器件70
3.3.1FLEX10K器件性能特點70
3.3.2FLEX10K器件結構71
3.3.3FLEX10K器件特性設定82
3.3.4FLEX10K器件配置與測試84
3.4APEX20K系列器件85
3.4.1APEX20K器件性能特點85
3.4.2APEX20K器件結構87
3.4.3APEX20K系列器件的嵌入式系統塊92
3.4.4APEX20K器件I/O結構96
3.4.5APEX20K器件的配置與測試100
3.5Cyclone系列器件架構及簡介101
3.5.1Cyclone器件簡介101
3.5.2Cyclone Ⅱ器件簡介110
3.6Stratix系列器件117
3.6.1Stratix器件簡介117
3.6.2Stratix Ⅱ器件簡介126
3.7Stratix GX系列器件138
3.8本章小結143
思考與練習143
第4章VHDL硬體描述語言144
4.1VHDL概述144
4.2VHDL基本結構與要素145
4.2.1實體(ENTITY)147
4.2.2結構體(ARCHITECTURE)148
4.2.3程式包(PACKAGE)與庫(LIBRARY)150
4.3VHDL數據類型和數據對象151
4.3.1VHDL標記151
4.3.2VHDL數據類型153
4.3.3VHDL運算符159
4.3.4VHDL數據對象161
4.4VHDL基本語句165
4.4.1並行信號賦值語句165
4.4.2條件賦值語句,WHENELSE166
4.4.3選擇信號賦值語句,WITHSELECT167
4.4.4塊(BLOCK)語句167
4.4.5IFELSE語句169
4.4.6CASEWHEN語句171
4.4.7FORLOOP語句172
4.4.8NEXT語句173
4.4.9EXIT語句173
4.5VHDL高級語句174
4.5.1進程(PROCESS)語句174
4.5.2元件(COMPONENT)定義語句和元件例化(PORT MAP)
語句175
4.5.3生成(GENERATE)語句177
4.5.4子程式(SUBPROGRAM)180
4.6本章小結182
思考與練習182
第5章VHDL設計套用實例185
5.1組合邏輯電路的分析與設計185
5.1.1門電路185
5.1.2編碼器187
5.1.3解碼器188
5.1.4多路選擇器190
5.1.5數值比較器193
5.1.6加法器195
5.2時序邏輯電路設計196
5.2.1時鐘信號和復位信號196
5.2.2觸發器197
5.2.3暫存器和移位暫存器203
5.2.4計數器208
5.3存儲器設計212
5.3.1RAM隨機存儲器213
5.3.2ROM唯讀存儲器214
5.3.3堆疊215
5.3.4FIFO存儲器218
5.4狀態機設計219
5.4.1摩爾型狀態機220
5.4.2米里型狀態機221
5.5本章小結224
思考與練習224
第6章Quartus Ⅱ軟體及其套用228
6.1Quartus Ⅱ功能簡介228
6.2原理圖編輯輸入法231
6.2.1建立Quartus Ⅱ工程檔案233
6.2.2建立圖形設計檔案235
6.2.3對設計檔案進行編譯237
6.2.4引腳分配238
6.2.5設計仿真243
6.2.6目標器件載入246
6.2.7實驗現象與結果247
6.3文本編輯輸入法248
6.3.1編輯設計檔案248
6.3.2創建工程249
6.3.3編譯250
6.3.4仿真252
6.3.5引腳鎖定和下載254
6.4層次電路設計254
6.4.1頂層檔案設計255
6.4.2創建各模組的下層設計檔案257
6.4.3設計項目的編譯仿真258
6.4.4層次顯示258
6.5LPM參數化宏功能模組及套用260
6.5.1IP的概念及Altera的IP260
6.5.2Alter宏功能模組套用實例262
6.6本章小結265
思考與練習265
第7章EDA技術綜合設計套用267
7.1數字鐘設計267
7.1.1設計方案的選擇267
7.1.2數字鐘的工作原理268
7.1.3系統設計方案268
7.1.4具體設計流程268
7.1.5模組設計與分析270
7.1.6頂層檔案的設計277
7.1.7實驗結果277
7.1.8設計總結278
7.2直接數字頻率合成器(DDS)的設計279
7.2.1DDS的工作原理279
7.2.2DDS的VHDL實現280
7.2.3DDS的Quartus Ⅱ仿真283
7.3通用異步收發器UART的設計284
7.3.1UART的基本工作原理284
7.3.2UART的實現285
7.3.3各模組的仿真287
7.3.4UART的頂層RTL電路288
7.4等精度頻率計的設計289
7.4.1等精度測頻原理289
7.4.2等精度測頻的實現方案290
7.4.3系統總體設計方案與功能仿真291
7.4.4測量結果及誤差分析296
7.5本章小結296
參考文獻297

相關詞條

熱門詞條

聯絡我們