FPGA開發與套用

FPGA開發與套用

《FPGA開發與套用》是2010年9月1日清華大學出版社出版的書,作者是王振紅。

基本介紹

  • 書名:FPGA開發與套用
  • 作者王振紅 
  • ISBN:9787302236566, 7302236569
  • 頁數:400
  • 出版社:清華大學出版社
  • 出版時間:2010年9月1日
  • 開本:16開
  • 版 次:第1版
  • 叢書名:高等學校套用型特色規劃教材
  • 條形碼:9787302236566
  • 正文語種:簡體中文
內容簡介,編輯推薦,圖書目錄,

內容簡介

《FPGA開發與套用》第1章~第5章介紹了FPGA及其硬體描述語言VHDL的特點,VHDL語言中常用的數據、運算符、順序描述語句和並行描述語句、時鐘信號描述、狀態機等基本概念和套用。第6章介紹了MAX+plus II軟體套用方法。第7章與清華大學閻石主編的《數字電子技術基礎》(第4版)同步,為FPGA數字電路設計實例,針對門電路、組合邏輯電路、觸發器、時序邏輯電路及存儲器等各種功能晶片以及一些例題,講解了基於VHDL及FPGA的實現方法。第8章介紹了FPGA套用系統設計實例,設計實例由淺入深,並配有相關的圖及注釋。這些設計實例可以作為電子設計競賽的賽前訓練題目,也可以作為電子電路課程設計的參考題目。
《FPGA開發與套用》可作為大專院校電類學生學習VHDL及FPGA的實訓教科書,也可供有關工程技術人員參考使用。

編輯推薦

《FPGA開發與套用》就是通過電子小系統實踐提高學生對實踐的興趣,使學生由單元電路實踐順利過渡到電子小系統實踐,減少學生實踐的難度。
《FPGA開發與套用》覆蓋了模擬電子技術基礎、數字電子技術基礎及FPGA基本知識,包含了多個綜合電子電路小系統設計實例。這些設計實例既有硬體電路,又有軟體程式,使用的是VHDL和MAX+plus II軟體。書中列舉的每個綜合電子電路小系統內容詳盡,並且經過實驗檢驗,是北方工業大學參加全國大學生電子設計競賽的賽前訓練題目,也是電子電路課程設計的參考題目。

圖書目錄

第1章 FPGA及其硬體
描述語言VHDL 1
1.1 FPGA簡介 1
1.2 VHDL程式的特點 1
1.3 VHDL程式的基本結構 2
1.3.1 庫說明 3
1.3.2 實體說明 4
1.3.3 結構體說明 5
1.4 VHDL的數據 5
1.4.1 基本標識符 5
1.4.2 數據對象 6
1.4.3 數據類型 7
1.5 VHDL的表達式 10
1.5.1 邏輯運算符 10
1.5.2 算術運算符 10
1.5.3 關係運算符 11
1.5.4 並置運算符 12
1.5.5 操作符的運算優先權 12
第2章 VHDL的順序描述語句 14
2.1 信號賦值語句和變數賦值語句 14
2.2 if語句 14
2.3 case語句 17
2.4 for loop 循環語句 19
2.5 null語句 20
第3章 VHDL的並行描述語句 22
3.1 進程語句 22
3.1.1 進程語句的敏感信號表 22
3.1.2 進程語句的啟動 23
3.1.3 進程語句的同步 23
3.2 並發信號賦值語句 25
3.3 條件信號賦值語句 26
3.4 選擇信號賦值語句 28
3.5 元件例化語句 30
3.6 生成語句 33
第4章 VHDL中時鐘信號及復位信號的描述方法 37
4.1 時鐘信號的VHDL描述方法 37
4.1.1 時鐘邊沿的描述 37
4.1.2 時序電路中的進程敏感信號 38
4.2 時序電路中復位信號的VHDL描述方法 39
4.2.1 同步復位 39
4.2.2 異步復位 40
第5章 用VHDL設計有限狀態機 41
5.1 有限狀態機的基本概念 41
5.2 Moore型有限狀態機的設計實例 42
5.2.1 存儲控制器的三進程描述方式 43
5.2.2 存儲控制器的單進程描述方式 45
5.2.3 存儲控制器的雙進程描述方式 46
第6章 FPGA的套用軟體MAX+plus II的使用方法 48
6.1 編程存儲及編譯 48
6.2 指定器件及編譯 51
6.3 指定器件管腳及編譯 51
6.4 下載 52
6.5 存儲及編譯圖形描述 53
6.6 仿真 55
第7章 FPGA數字電路設計實例 59
7.1 門電路的FPGA設計 59
7.1.1 與非門電路 59
7.1.2 二輸入或非門電路 62
7.1.3 二輸入異或門電路 63
7.1.4 反向器門電路 64
7.1.5 三態門電路 65
7.1.6 單向匯流排緩衝器 66
7.1.7 雙向匯流排緩衝器 67
7.2 組合邏輯電路的FPGA設計 67
7.2.1 監視交通信號燈工作狀態的邏輯電路 68
7.2.2 8線-3線編碼器 69
7.2.3 8線-3線優先編碼器 70
7.2.4 二-十進制編碼器 71
7.2.5 3線-8線解碼器 73
7.2.6 二-十進制解碼器 74
7.2.7 BCD七段顯示解碼器 75
7.2.8 代碼轉換電路 77
7.2.9 四選一數據選擇器 78
7.2.10 八選一數據選擇器 79
7.2.11 4位全加器 80
7.2.12 8位加法器 82
7.2.13 多位數值比較器 83
7.3 觸發器的FPGA設計 84
7.3.1 RS觸發器 84
7.3.2 主從JK觸發器 85
7.3.3 D觸發器 86
7.4 時序邏輯電路的FPGA設計 88
7.4.1 暫存器 88
7.4.2 雙向移位暫存器 88
7.4.3 串列輸入並行輸出移位暫存器 90
7.4.4 循環移位暫存器 90
7.4.5 4位同步二進制計數器 91
7.4.6 單時鐘同步十六進制加/減計數器 92
7.4.7 雙時鐘同步十六進制加/減計數器 93
7.4.8 同步十進制加法計數器 96
7.4.9 單時鐘同步十進制可逆計數器 97
7.4.10 異步二進制加法計數器 98
7.4.11 同步100進制計數器 100
7.4.12 同步29進制計數器 101
7.4.13 順序脈衝發生器 103
7.4.14 序列信號發生器 104
7.4.15 用狀態機方法設計十三進制計數器 105
7.4.16 串列數據檢測器 106
7.4.17 能自啟動的七進制計數器 108
7.4.18 能自啟動的3位環形計數器 109
7.4.19 用狀態機方法設計十進制減法計數器 110
第8章 FPGA套用系統設計實例 112
8.1 實例一:FPGA控制的數碼顯示電路 112
8.1.1 設計要求 112
8.1.2 設計分析 112
8.1.3 顯示原理 112
8.1.4 驅動8位數碼管顯示電路框圖 113
8.1.5 模組及模組功能 114
8.2 實例二:鍵盤控制電路 118
8.2.1 設計要求 118
8.2.2 設計分析 118
8.3 實例三:FPGA控制的點陣發光器件顯示漢字 125
8.3.1 設計要求 125
8.3.2 設計分析 125
8.3.3 器件及硬體電路 125
8.3.4 設計軟體的思路及源程式 129
8.4 實例四:FPGA控制的數模(D/A)轉換電路 142
8.4.1 設計要求 142
8.4.2 設計分析 142
8.4.3 DAC0832轉換器 142
8.4.4 數模(D/A)轉換電路 143
8.4.5 FPGA控制的數模(D/A)轉換電路 144
8.5 實例五:FPGA控制的模數(A/D)轉換0809的套用 146
8.5.1 設計要求 146
8.5.2 設計分析 146
8.5.3 ADC0809轉換器及其轉換電路 147
8.5.4 FPGA控制的模數(A/D)轉換電路 150
8.5.5 用數碼管顯示模數(A/D)轉換器的輸入電壓 154
8.5.6 ADC0809轉換模擬輸入負電壓電路 159
8.6 實例六:數控式可逆步進調壓直流穩壓電源 161
8.6.1 設計要求 161
8.6.2 原理及硬體電路 161
8.6.3 軟體設計思想及源程式 163
8.7 實例七:數控式直流電流源 166
8.7.1 設計指標及框圖 166
8.7.2 硬體電路圖 166
8.7.3 軟體設計思想及源程式 168
8.8 實例八:低頻數字式相位測量儀 171
8.8.1 設計指標及框圖 171
8.8.2 移相網路 172
8.8.3 相位測量 173
8.9 實例九:多路數據採集系統 185
8.9.1 設計內容 185
8.9.2 現場模擬信號產生器 186
8.9.3 八路數據採集器 188
8.9.4 主控器 192
8.10 實例十:測量放大器 207
8.10.1 測量放大器系統 207
8.10.2 橋式電路 207
8.10.3 信號變換放大器 208
8.10.4 直流電壓放大器 209
8.10.5 程控的直流電壓放大器 211
8.11 實例十一:低頻功率放大器 222
8.11.1 設計任務 222
8.11.2 功率放大器 223
8.11.3 前置放大器 224
8.11.4 系統測試 224
8.11.5 自製穩壓電源 225
8.11.6 集成功率放大器 226
8.12 實例十二:開關型穩壓電源 232
8.12.1 脈衝寬度調製電路MIC2194 232
8.12.2 MC34060控制的串聯型開關穩壓電源 233
8.13 實例十三:程控濾波器 235
8.13.1 設計要求 235
8.13.2 設計框圖 235
8.13.3 程控放大器 236
8.13.4 程控低通濾波器 240
8.13.5 程控高通濾波器 243
8.13.6 程控濾波器的FPGA控制核心 245
8.14 實例十四:信號發生器 270
8.14.1 設計要求 270
8.14.2 功能及其內部接線 270
8.14.3 信號發生器的FPGA內部結構 272
8.14.4 調用MAX+plusⅡ10.2中的除法元件方法 296
8.15 實例十五:交流電壓參數的測量 298
8.15.1 設計要求 298
8.15.2 給定的器件 298
8.15.3 硬體電路 301
8.15.4 軟體電路 302
8.16 實例十六:寬頻放大器 318
8.16.1 設計要求 318
8.16.2 硬體電路 319
8.16.3 軟體電路 322
8.17 實例十七:高效率音頻功率放大器 340
8.17.1 設計要求 340
8.17.2 D類放大器的工作原理 341
8.17.3 硬體電路 341
8.17.4 軟體電路 345
8.18 實例十八:數位化語音存儲與回放系統 356
8.18.1 設計要求 356
8.18.2 硬體電路 357
8.18.3 軟體電路 360
8.19 實例十九:數字式工頻有效值多用表 365
8.19.1 設計要求 365
8.19.2 硬體電路 366
8.19.3 軟體電路 366
8.20 實例二十:簡易電阻、電容和電感測量儀 385
8.20.1 設計要求 385
8.20.2 硬體電路 386
8.20.3 軟體電路 388
參考文獻 401

相關詞條

熱門詞條

聯絡我們