數字邏輯 (第二版)

數字邏輯 (第二版)

本教材系統地闡述了數字邏輯電路的分析和設計方法,主要內容包括數字電路基本概念、數制和碼制、邏輯代數基礎、邏輯函式的建立和化簡、基本門電路、組合邏輯電路的分析與設計、觸發器、時序邏輯電路的分析與設計、常用中規模邏輯器件的套用、PLD邏輯器件、脈衝的產生與整形等,通過實例介紹了HDL語言及數字系統設計方法。 本書自第一版出版發行以來,受到廣大讀者的熱情關注,在多所院校的教學中獲得好評,經學校和出版社共同推薦被列為安徽省高等學校“十一五”省級規劃教材。經過幾年的教學實踐,我們收集並整理教學一線老師的反饋意見,結合自己的體會,為進一步提高教材質量,適應教育發展的需要,我們組織了多位從事“數字邏輯”和“模擬電路”教學一線教師,對本書第一版進行了認真、仔細的修訂。

基本介紹

  • 書名:數字邏輯 (第二版)
  • 定價:32.00元
  • 出版時間:201005
  • 裝幀:平裝
圖書詳細信息:,目錄,

圖書詳細信息:

ISBN:978-7-312-02604-1
定價:32.00元
版本:2
裝幀:平裝
出版年月:201005

目錄

前言... (Ⅰ)
第1章 數字邏輯電路基礎... ( 1 )
1.1 數字系統基本概念... ( 1 )
1.1.1 數位訊號... ( 1 )
1.1.2 數字電路... ( 2 )
1.1.3 數字系統... ( 2 )
1.1.4 數字系統中的兩種運算類型... ( 2 )
1.1.5 數字邏輯電路研究的主要問題... ( 3 )
1.2 數制與編碼... ( 3 )
1.2.1 數制... ( 3 )
1.2.2 數制轉換... ( 4 )
1.2.3 真值與機器數... ( 7 )
1.2.4 常用編碼... (10)
1.3 邏輯代數及其運算規則... (15)
1.3.1 三種基本邏輯... (16)
1.3.2 邏輯運算... (18)
1.3.3 邏輯代數基本定律和規則... (21)
1.4 邏輯函式的建立及其表示方法... (24)
1.4.1 邏輯函式的建立... (24)
1.4.2 邏輯函式的表示方法及其轉換... (26)
1.4.3 邏輯函式的標準形式... (27)
1.5 邏輯函式的化簡... (33)
1.5.1 邏輯函式的最簡形式... (33)
1.5.2 邏輯函式的公式法化簡... (34)
1.5.3 邏輯函式的卡諾圖化簡... (37)
1.5.4 具有任意項的邏輯函式的化簡... (44)
1.5.5 多輸出邏輯函式的化簡... (46)
1.6 門電路... (47)
1.6.1 分立元件門電路... (47)
1.6.2 TTL集成門電路... (51)
1.6.3 CMOS門電路... (60)
1.6.4 門電路使用注意事項... (62)
1.6.5 數字電路接口技術... (64)
習題... (66)
第2章 組合邏輯電路... (69)
2.1 組合邏輯電路分析... (69)
2.2 組合邏輯電路設計... (71)
2.2.1 組合邏輯電路設計舉例... (72)
2.2.2 輸入不提供反變數的組合邏輯電路設計... (76)
2.3 編碼器和解碼器... (79)
2.3.1 編碼器... (80)
2.3.2 解碼器... (85)
2.4 其他常用的組合邏輯器件... (92)
2.4.1 全加器... (92)
2.4.2 數字比較器... (95)
2.4.3 數據選擇器... (96)
2.4.4 奇偶校驗器... (98)
2.5 採用中規模邏輯器件實現組合邏輯函式... (99)
2.5.1 用數據選擇器實現組合邏輯函式... (100)
2.5.2 用其他中規模邏輯器件實現組合邏輯電路... (102)
2.6 組合邏輯的冒險現象... (105)
2.6.1 冒險現象的定義... (105)
2.6.2 冒險現象的避免... (106)
習題... (107)
第3章 時序邏輯電路... (111)
3.1 時序邏輯電路概述... (111)
3.1.1 時序邏輯電路的結構... (111)
3.1.2 時序邏輯電路的分類... (112)
3.1.3 同步時序邏輯電路的描述方法... (113)
3.2 基本時序電路... (115)
3.2.1 R-S觸發器... (115)
3.2.2 D觸發器... (118)
3.2.3 J-K觸發器... (120)
3.2.4 T觸發器... (123)
3.2.5 觸發器的功能變換... (123)
3.3 同步時序邏輯電路的分析... (125)
3.3.1 同步時序邏輯電路的分析方法... (125)
3.3.2 同步時序邏輯電路的分析舉例... (126)
3.4 同步時序邏輯電路的設計... (130)
3.4.1 建立原始狀態表... (132)
3.4.2 狀態化簡... (136)
3.4.3 狀態分配... (145)
3.4.4 確定激勵函式和輸出函式... (147)
3.4.5 設計舉例... (150)
3.5 暫存器... (152)
3.5.1 數碼暫存器... (153)
3.5.2 移位暫存器... (154)
3.6 計數器... (160)
3.6.1 同步計數器的分析與設計... (161)
3.6.2 異步計數器的分析與設計... (178)
3.6.3 採用MSI實現任意模值計數器... (188)
3.7 脈衝異步時序電路的分析... (194)
3.8 脈衝異步時序電路的設計... (196)
3.9 異步時序電路中的冒險... (199)
3.9.1 異步時序邏輯電路中的冒險... (199)
3.9.2 異步時序邏輯電路中的競爭... (200)
習題... (202)
第4章 可程式邏輯器件... (207)
4.1 概述... (207)
4.1.1 引言... (207)
4.1.2 PLD的發展... (208)
4.1.3 PLD的一般結構... (208)
4.1.4 PLD的電路表示法... (209)
4.1.5 PLD的分類... (210)
4.2 低密度可程式邏輯器件... (211)
4.2.1 可程式唯讀存儲器PROM.. (211)
4.2.2 可程式邏輯陣列PLA. (215)
4.2.3 可程式陣列邏輯PAL. (218)
4.2.4 通用陣列邏輯GAL. (221)
4.3 高密度可程式邏輯器件... (228)
4.3.1 複雜的可程式邏輯器件(CPLD) (229)
4.3.2 現場可程式門陣列(FPGA) (231)
4.3.3 FPGA/CPLD開發套用選擇... (235)
4.4 先進的編程和測試技術... (235)
4.4.1 在系統編程技術... (235)
4.4.2 邊界掃描測試技術... (240)
4.4.3 套用於FPGA/CPLD的EDA開發流程... (241)
習題... (244)
第5章 脈衝波形的產生與整形... (245)
5.1 脈衝信號和脈衝電路... (245)
5.1.1 脈衝信號... (245)
5.1.2 脈衝電路... (246)
5.2 脈衝波形發生器及整形電路... (246)
5.2.1 單穩態觸發器... (246)
5.2.2 施密特觸發器... (254)
5.2.3 多諧振盪器... (259)
5.3 集成555定時器... (262)
5.3.1 集成555定時器的工作原理... (262)
5.3.2 集成555定時器的套用... (264)
習題... (268)
第6章 數字系統設計... (269)
6.1 數字系統設計概述... (269)
6.1.1 數字系統的基本組成... (269)
6.1.2 數字系統的設計方法... (270)
6.1.3 數字系統的設計方式... (271)
6.1.4 數字系統的實現... (272)
6.2 數字系統設計的描述工具... (273)
6.2.1 算法狀態機(ASM)圖... (273)
6.2.2 暫存器傳輸語言RTL. (274)
6.3 數字系統設計實例... (277)
6.3.1 簡易數字頻率計的設計... (277)
6.3.2 數字鐘的設計... (282)
6.3.3 交通信號燈控制器的設計... (289)
習題... (295)
第7章 硬體描述語言基礎... (297)
7.1 硬體描述語言概述... (297)
7.2 VHDL簡介... (298)
7.2.1 VHDL概述... (299)
7.2.2 認識VHDL程式... (299)
7.3 Verilog HDL簡介... (302)
7.3.1 Verilog HDL概述... (303)
7.3.2 認識Verilog HDL程式... (304)
7.4 其他硬體描述語言... (306)
7.4.1 ABEL-HDL簡介... (306)
7.4.2 AHDL簡介... (307)
7.5 使用HDL的開發流程... (308)
7.6 主要EDA平台對HDL的支持... (309)
7.6.1 Max+PlusII (309)
7.6.2 QuartusII (311)
7.6.3 Foundataion和ISE. (314)
7.6.4 ispDesignEXPERT和ispLEVER. (315)
習題... (317)
附錄 部分集成晶片管腳圖及其說明... (319)
參考文獻 (330)

熱門詞條

聯絡我們